OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [tags/] [eco32-0.24/] [fpga/] [src/] [eco32.v] - Blame information for rev 190

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 hellwig
//
2 68 hellwig
// eco32.v -- ECO32 top-level description
3 27 hellwig
//
4
 
5
 
6
module eco32(clk_in,
7
             reset_inout_n,
8
             sdram_clk,
9
             sdram_fb,
10
             sdram_cke,
11
             sdram_cs_n,
12
             sdram_ras_n,
13
             sdram_cas_n,
14
             sdram_we_n,
15
             sdram_ba,
16
             sdram_a,
17 125 hellwig
             sdram_udqm,
18
             sdram_ldqm,
19 27 hellwig
             sdram_dq,
20
             flash_ce_n,
21
             flash_oe_n,
22
             flash_we_n,
23
             flash_rst_n,
24
             flash_byte_n,
25
             flash_a,
26
             flash_d,
27 68 hellwig
             vga_hsync,
28
             vga_vsync,
29
             vga_r,
30
             vga_g,
31
             vga_b,
32 27 hellwig
             ps2_clk,
33
             ps2_data,
34
             rs232_0_rxd,
35
             rs232_0_txd,
36
             rs232_1_rxd,
37
             rs232_1_txd,
38
             pbus_d,
39
             pbus_a,
40
             pbus_read_n,
41
             pbus_write_n,
42
             ata_cs0_n,
43
             ata_cs1_n,
44
             ata_intrq,
45
             ata_dmarq,
46
             ata_dmack_n,
47
             ata_iordy,
48
             slot1_cs_n,
49
             slot2_cs_n,
50
             ether_cs_n);
51
 
52
    // clock and reset
53
    input clk_in;
54
    inout reset_inout_n;
55
    // SDRAM
56
    output sdram_clk;
57
    input sdram_fb;
58
    output sdram_cke;
59
    output sdram_cs_n;
60
    output sdram_ras_n;
61
    output sdram_cas_n;
62
    output sdram_we_n;
63
    output [1:0] sdram_ba;
64
    output [12:0] sdram_a;
65 125 hellwig
    output sdram_udqm;
66
    output sdram_ldqm;
67 27 hellwig
    inout [15:0] sdram_dq;
68
    // flash ROM
69
    output flash_ce_n;
70
    output flash_oe_n;
71
    output flash_we_n;
72
    output flash_rst_n;
73
    output flash_byte_n;
74
    output [19:0] flash_a;
75
    input [15:0] flash_d;
76
    // VGA display
77 68 hellwig
    output vga_hsync;
78
    output vga_vsync;
79
    output [2:0] vga_r;
80
    output [2:0] vga_g;
81
    output [2:0] vga_b;
82 27 hellwig
    // keyboard
83
    input ps2_clk;
84
    input ps2_data;
85
    // serial line 0
86
    input rs232_0_rxd;
87
    output rs232_0_txd;
88
    // serial line 1
89
    input rs232_1_rxd;
90
    output rs232_1_txd;
91
    // peripheral bus
92
    inout [15:0] pbus_d;
93
    output [4:0] pbus_a;
94
    output pbus_read_n;
95
    output pbus_write_n;
96
    // ATA adapter
97
    output ata_cs0_n;
98
    output ata_cs1_n;
99
    input ata_intrq;
100
    input ata_dmarq;
101
    output ata_dmack_n;
102
    input ata_iordy;
103
    // expansion slot 1
104
    output slot1_cs_n;
105
    // expansion slot 2
106
    output slot2_cs_n;
107
    // ethernet
108
    output ether_cs_n;
109 190 hellwig
    // board I/O
110
    //!!!!!
111 27 hellwig
 
112
  // clk_reset
113
  wire clk;
114
  wire clk_ok;
115
  wire reset;
116
  // cpu
117
  wire cpu_en;
118
  wire cpu_wr;
119
  wire [1:0] cpu_size;
120
  wire [31:0] cpu_addr;
121
  wire [31:0] cpu_data_in;
122
  wire [31:0] cpu_data_out;
123
  wire cpu_wt;
124
  wire [15:0] cpu_irq;
125
  // ram
126
  wire ram_en;
127
  wire ram_wr;
128
  wire [1:0] ram_size;
129
  wire [24:0] ram_addr;
130
  wire [31:0] ram_data_in;
131
  wire [31:0] ram_data_out;
132
  wire ram_wt;
133
  // rom
134
  wire rom_en;
135
  wire rom_wr;
136
  wire [1:0] rom_size;
137
  wire [20:0] rom_addr;
138
  wire [31:0] rom_data_out;
139
  wire rom_wt;
140 70 hellwig
  // tmr0
141
  wire tmr0_en;
142
  wire tmr0_wr;
143
  wire [3:2] tmr0_addr;
144
  wire [31:0] tmr0_data_in;
145
  wire [31:0] tmr0_data_out;
146
  wire tmr0_wt;
147
  wire tmr0_irq;
148
  // tmr1
149
  wire tmr1_en;
150
  wire tmr1_wr;
151
  wire [3:2] tmr1_addr;
152
  wire [31:0] tmr1_data_in;
153
  wire [31:0] tmr1_data_out;
154
  wire tmr1_wt;
155
  wire tmr1_irq;
156 27 hellwig
  // dsp
157
  wire dsp_en;
158
  wire dsp_wr;
159
  wire [13:2] dsp_addr;
160
  wire [15:0] dsp_data_in;
161
  wire [15:0] dsp_data_out;
162
  wire dsp_wt;
163
  // kbd
164
  wire kbd_en;
165
  wire kbd_wr;
166 67 hellwig
  wire kbd_addr;
167 27 hellwig
  wire [7:0] kbd_data_in;
168
  wire [7:0] kbd_data_out;
169
  wire kbd_wt;
170
  wire kbd_irq;
171
  // ser0
172
  wire ser0_en;
173
  wire ser0_wr;
174
  wire [3:2] ser0_addr;
175
  wire [7:0] ser0_data_in;
176
  wire [7:0] ser0_data_out;
177
  wire ser0_wt;
178
  wire ser0_irq_r;
179
  wire ser0_irq_t;
180
  // ser1
181
  wire ser1_en;
182
  wire ser1_wr;
183
  wire [3:2] ser1_addr;
184
  wire [7:0] ser1_data_in;
185
  wire [7:0] ser1_data_out;
186
  wire ser1_wt;
187
  wire ser1_irq_r;
188
  wire ser1_irq_t;
189
  // dsk
190
  wire dsk_en;
191
  wire dsk_wr;
192
  wire [19:2] dsk_addr;
193
  wire [31:0] dsk_data_in;
194
  wire [31:0] dsk_data_out;
195
  wire dsk_wt;
196
  wire dsk_irq;
197 190 hellwig
  // bio
198
  wire bio_en;
199
  wire bio_wr;
200
  wire bio_addr;
201
  wire [31:0] bio_data_in;
202
  wire [31:0] bio_data_out;
203
  wire bio_wt;
204 27 hellwig
 
205
  clk_reset clk_reset1(
206
    .clk_in(clk_in),
207
    .reset_inout_n(reset_inout_n),
208
    .sdram_clk(sdram_clk),
209
    .sdram_fb(sdram_fb),
210
    .clk(clk),
211
    .clk_ok(clk_ok),
212
    .reset(reset)
213
  );
214
 
215
  busctrl busctrl1(
216
    // cpu
217
    .cpu_en(cpu_en),
218
    .cpu_wr(cpu_wr),
219
    .cpu_size(cpu_size[1:0]),
220
    .cpu_addr(cpu_addr[31:0]),
221
    .cpu_data_in(cpu_data_in[31:0]),
222
    .cpu_data_out(cpu_data_out[31:0]),
223
    .cpu_wt(cpu_wt),
224
    // ram
225
    .ram_en(ram_en),
226
    .ram_wr(ram_wr),
227
    .ram_size(ram_size[1:0]),
228
    .ram_addr(ram_addr[24:0]),
229
    .ram_data_in(ram_data_in[31:0]),
230
    .ram_data_out(ram_data_out[31:0]),
231
    .ram_wt(ram_wt),
232
    // rom
233
    .rom_en(rom_en),
234
    .rom_wr(rom_wr),
235
    .rom_size(rom_size[1:0]),
236
    .rom_addr(rom_addr[20:0]),
237
    .rom_data_out(rom_data_out[31:0]),
238
    .rom_wt(rom_wt),
239 70 hellwig
    // tmr0
240
    .tmr0_en(tmr0_en),
241
    .tmr0_wr(tmr0_wr),
242
    .tmr0_addr(tmr0_addr[3:2]),
243
    .tmr0_data_in(tmr0_data_in[31:0]),
244
    .tmr0_data_out(tmr0_data_out[31:0]),
245
    .tmr0_wt(tmr0_wt),
246
    // tmr1
247
    .tmr1_en(tmr1_en),
248
    .tmr1_wr(tmr1_wr),
249
    .tmr1_addr(tmr1_addr[3:2]),
250
    .tmr1_data_in(tmr1_data_in[31:0]),
251
    .tmr1_data_out(tmr1_data_out[31:0]),
252
    .tmr1_wt(tmr1_wt),
253 27 hellwig
    // dsp
254
    .dsp_en(dsp_en),
255
    .dsp_wr(dsp_wr),
256
    .dsp_addr(dsp_addr[13:2]),
257
    .dsp_data_in(dsp_data_in[15:0]),
258
    .dsp_data_out(dsp_data_out[15:0]),
259
    .dsp_wt(dsp_wt),
260
    // kbd
261
    .kbd_en(kbd_en),
262
    .kbd_wr(kbd_wr),
263 67 hellwig
    .kbd_addr(kbd_addr),
264 27 hellwig
    .kbd_data_in(kbd_data_in[7:0]),
265
    .kbd_data_out(kbd_data_out[7:0]),
266
    .kbd_wt(kbd_wt),
267
    // ser0
268
    .ser0_en(ser0_en),
269
    .ser0_wr(ser0_wr),
270
    .ser0_addr(ser0_addr[3:2]),
271
    .ser0_data_in(ser0_data_in[7:0]),
272
    .ser0_data_out(ser0_data_out[7:0]),
273
    .ser0_wt(ser0_wt),
274
    // ser1
275
    .ser1_en(ser1_en),
276
    .ser1_wr(ser1_wr),
277
    .ser1_addr(ser1_addr[3:2]),
278
    .ser1_data_in(ser1_data_in[7:0]),
279
    .ser1_data_out(ser1_data_out[7:0]),
280
    .ser1_wt(ser1_wt),
281
    // dsk
282
    .dsk_en(dsk_en),
283
    .dsk_wr(dsk_wr),
284
    .dsk_addr(dsk_addr[19:2]),
285
    .dsk_data_in(dsk_data_in[31:0]),
286
    .dsk_data_out(dsk_data_out[31:0]),
287 190 hellwig
    .dsk_wt(dsk_wt),
288
    // bio
289
    .bio_en(bio_en),
290
    .bio_wr(bio_wr),
291
    .bio_addr(bio_addr),
292
    .bio_data_in(bio_data_in[31:0]),
293
    .bio_data_out(bio_data_out[31:0]),
294
    .bio_wt(bio_wt)
295 27 hellwig
  );
296
 
297
  cpu cpu1(
298
    .clk(clk),
299
    .reset(reset),
300
    .bus_en(cpu_en),
301
    .bus_wr(cpu_wr),
302
    .bus_size(cpu_size[1:0]),
303
    .bus_addr(cpu_addr[31:0]),
304
    .bus_data_in(cpu_data_in[31:0]),
305
    .bus_data_out(cpu_data_out[31:0]),
306
    .bus_wt(cpu_wt),
307
    .irq(cpu_irq[15:0])
308
  );
309
 
310 70 hellwig
  assign cpu_irq[15] = tmr1_irq;
311
  assign cpu_irq[14] = tmr0_irq;
312 27 hellwig
  assign cpu_irq[13] = 1'b0;
313
  assign cpu_irq[12] = 1'b0;
314
  assign cpu_irq[11] = 1'b0;
315
  assign cpu_irq[10] = 1'b0;
316
  assign cpu_irq[ 9] = 1'b0;
317
  assign cpu_irq[ 8] = dsk_irq;
318
  assign cpu_irq[ 7] = 1'b0;
319
  assign cpu_irq[ 6] = 1'b0;
320
  assign cpu_irq[ 5] = 1'b0;
321
  assign cpu_irq[ 4] = kbd_irq;
322
  assign cpu_irq[ 3] = ser1_irq_r;
323
  assign cpu_irq[ 2] = ser1_irq_t;
324
  assign cpu_irq[ 1] = ser0_irq_r;
325
  assign cpu_irq[ 0] = ser0_irq_t;
326
 
327
  ram ram1(
328
    .clk(clk),
329
    .clk_ok(clk_ok),
330
    .reset(reset),
331
    .en(ram_en),
332
    .wr(ram_wr),
333
    .size(ram_size[1:0]),
334
    .addr(ram_addr[24:0]),
335
    .data_in(ram_data_in[31:0]),
336
    .data_out(ram_data_out[31:0]),
337
    .wt(ram_wt),
338
    .sdram_cke(sdram_cke),
339
    .sdram_cs_n(sdram_cs_n),
340
    .sdram_ras_n(sdram_ras_n),
341
    .sdram_cas_n(sdram_cas_n),
342
    .sdram_we_n(sdram_we_n),
343
    .sdram_ba(sdram_ba[1:0]),
344
    .sdram_a(sdram_a[12:0]),
345 125 hellwig
    .sdram_udqm(sdram_udqm),
346
    .sdram_ldqm(sdram_ldqm),
347 27 hellwig
    .sdram_dq(sdram_dq[15:0])
348
  );
349
 
350
  rom rom1(
351
    .clk(clk),
352
    .reset(reset),
353
    .en(rom_en),
354
    .wr(rom_wr),
355
    .size(rom_size[1:0]),
356
    .addr(rom_addr[20:0]),
357
    .data_out(rom_data_out[31:0]),
358
    .wt(rom_wt),
359
    .ce_n(flash_ce_n),
360
    .oe_n(flash_oe_n),
361
    .we_n(flash_we_n),
362
    .rst_n(flash_rst_n),
363
    .byte_n(flash_byte_n),
364
    .a(flash_a[19:0]),
365
    .d(flash_d[15:0])
366
  );
367
 
368 70 hellwig
  tmr tmr1_0(
369 27 hellwig
    .clk(clk),
370
    .reset(reset),
371 70 hellwig
    .en(tmr0_en),
372
    .wr(tmr0_wr),
373
    .addr(tmr0_addr[3:2]),
374
    .data_in(tmr0_data_in[31:0]),
375
    .data_out(tmr0_data_out[31:0]),
376
    .wt(tmr0_wt),
377
    .irq(tmr0_irq)
378 27 hellwig
  );
379
 
380 70 hellwig
  tmr tmr1_1(
381
    .clk(clk),
382
    .reset(reset),
383
    .en(tmr1_en),
384
    .wr(tmr1_wr),
385
    .addr(tmr1_addr[3:2]),
386
    .data_in(tmr1_data_in[31:0]),
387
    .data_out(tmr1_data_out[31:0]),
388
    .wt(tmr1_wt),
389
    .irq(tmr1_irq)
390
  );
391
 
392 27 hellwig
  dsp dsp1(
393
    .clk(clk),
394
    .reset(reset),
395
    .en(dsp_en),
396
    .wr(dsp_wr),
397
    .addr(dsp_addr[13:2]),
398
    .data_in(dsp_data_in[15:0]),
399
    .data_out(dsp_data_out[15:0]),
400
    .wt(dsp_wt),
401 68 hellwig
    .hsync(vga_hsync),
402
    .vsync(vga_vsync),
403
    .r(vga_r[2:0]),
404
    .g(vga_g[2:0]),
405
    .b(vga_b[2:0])
406 27 hellwig
  );
407
 
408
  kbd kbd1(
409
    .clk(clk),
410
    .reset(reset),
411
    .en(kbd_en),
412
    .wr(kbd_wr),
413 67 hellwig
    .addr(kbd_addr),
414 27 hellwig
    .data_in(kbd_data_in[7:0]),
415
    .data_out(kbd_data_out[7:0]),
416
    .wt(kbd_wt),
417 116 hellwig
    .irq(kbd_irq),
418
    .ps2_clk(ps2_clk),
419
    .ps2_data(ps2_data)
420 27 hellwig
  );
421
 
422
  ser ser1_0(
423
    .clk(clk),
424
    .reset(reset),
425
    .en(ser0_en),
426
    .wr(ser0_wr),
427
    .addr(ser0_addr[3:2]),
428
    .data_in(ser0_data_in[7:0]),
429
    .data_out(ser0_data_out[7:0]),
430
    .wt(ser0_wt),
431
    .irq_r(ser0_irq_r),
432
    .irq_t(ser0_irq_t),
433
    .rxd(rs232_0_rxd),
434
    .txd(rs232_0_txd)
435
  );
436
 
437
  ser ser1_1(
438
    .clk(clk),
439
    .reset(reset),
440
    .en(ser1_en),
441
    .wr(ser1_wr),
442
    .addr(ser1_addr[3:2]),
443
    .data_in(ser1_data_in[7:0]),
444
    .data_out(ser1_data_out[7:0]),
445
    .wt(ser1_wt),
446
    .irq_r(ser1_irq_r),
447
    .irq_t(ser1_irq_t),
448
    .rxd(rs232_1_rxd),
449
    .txd(rs232_1_txd)
450
  );
451
 
452
  dsk dsk1(
453
    .clk(clk),
454
    .reset(reset),
455
    .en(dsk_en),
456
    .wr(dsk_wr),
457
    .addr(dsk_addr[19:2]),
458
    .data_in(dsk_data_in[31:0]),
459
    .data_out(dsk_data_out[31:0]),
460
    .wt(dsk_wt),
461
    .irq(dsk_irq),
462
    .ata_d(pbus_d[15:0]),
463
    .ata_a(pbus_a[2:0]),
464
    .ata_cs0_n(ata_cs0_n),
465
    .ata_cs1_n(ata_cs1_n),
466
    .ata_dior_n(pbus_read_n),
467
    .ata_diow_n(pbus_write_n),
468
    .ata_intrq(ata_intrq),
469
    .ata_dmarq(ata_dmarq),
470
    .ata_dmack_n(ata_dmack_n),
471
    .ata_iordy(ata_iordy)
472
  );
473
 
474
  assign pbus_a[4:3] = 2'b00;
475
  assign slot1_cs_n = 1;
476
  assign slot2_cs_n = 1;
477
  assign ether_cs_n = 1;
478
 
479 190 hellwig
  bio bio1(
480
    .clk(clk),
481
    .reset(reset),
482
    .en(bio_en),
483
    .wr(bio_wr),
484
    .addr(bio_addr),
485
    .data_in(bio_data_in[31:0]),
486
    .data_out(bio_data_out[31:0]),
487
    .wt(bio_wt)
488
  );
489
 
490 27 hellwig
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.