OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [tags/] [eco32-0.24/] [fpga/] [src/] [kbd/] [kbd.v] - Blame information for rev 211

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 116 hellwig
//
2
// kbd.v -- PC keyboard interface
3
//
4
 
5
 
6
module kbd(clk, reset,
7 67 hellwig
           en, wr, addr,
8 27 hellwig
           data_in, data_out,
9 116 hellwig
           wt, irq,
10
           ps2_clk, ps2_data);
11
    // internal interface
12 27 hellwig
    input clk;
13
    input reset;
14
    input en;
15
    input wr;
16 67 hellwig
    input addr;
17 27 hellwig
    input [7:0] data_in;
18
    output [7:0] data_out;
19
    output wt;
20
    output irq;
21 116 hellwig
    // external interface
22
    input ps2_clk;
23
    input ps2_data;
24 27 hellwig
 
25
  wire [7:0] keyboard_data;
26
  wire keyboard_rdy;
27
  reg [7:0] data;
28
  reg rdy;
29
  reg ien;
30 116 hellwig
  reg [7:2] other_bits;
31 27 hellwig
 
32
  keyboard keyboard1(
33
    .ps2_clk(ps2_clk),
34
    .ps2_data(ps2_data),
35
    .clk(clk),
36
    .reset(reset),
37
    .keyboard_data(keyboard_data[7:0]),
38
    .keyboard_rdy(keyboard_rdy)
39
  );
40
 
41
  always @(posedge clk) begin
42
    if (reset == 1) begin
43
      data <= 8'h00;
44
      rdy <= 0;
45
      ien <= 0;
46 116 hellwig
      other_bits <= 6'b000000;
47 27 hellwig
    end else begin
48
      if (keyboard_rdy == 1) begin
49
        data <= keyboard_data;
50
      end
51
      if (keyboard_rdy == 1 ||
52 67 hellwig
          (en == 1 && wr == 0 && addr == 1)) begin
53 27 hellwig
        rdy <= keyboard_rdy;
54
      end
55 67 hellwig
      if (en == 1 && wr == 1 && addr == 0) begin
56 27 hellwig
        rdy <= data_in[0];
57
        ien <= data_in[1];
58 116 hellwig
        other_bits <= data_in[7:2];
59 27 hellwig
      end
60
    end
61
  end
62
 
63
  assign data_out =
64 116 hellwig
    (addr == 0) ? { other_bits[7:2], ien, rdy } : data[7:0];
65 27 hellwig
  assign wt = 1'b0;
66
  assign irq = ien & rdy;
67
 
68
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.