OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [README] - Blame information for rev 305

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 292 hellwig
Contents of Subdirectories
2
--------------------------
3
 
4
mc
5
multicycle implementations of ECO32
6
(DONE)
7
 
8
mc-sim
9
multicycle simulation of ECO32
10
(NOT YET)
11
 
12
mc-vl
13
Verilator-translated multicycle simulation of ECO32
14
(NOT YET)
15
 
16
pl
17
pipeline implementations of ECO32
18
(NOT YET)
19
 
20
pl-sim
21
pipeline simulation of ECO32
22
(NOT YET)
23
 
24
pl-vl
25
Verilator-translated pipeline simulation of ECO32
26
(NOT YET)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.