OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [experiments/] [memspeed-1/] [src/] [memspeed.v] - Blame information for rev 323

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 296 hellwig
//
2
// memspeed.v -- toplevel for memory speedometer
3
//
4
 
5
 
6
`timescale 1ns/10ps
7
`default_nettype none
8
 
9
 
10
module memspeed(clk_in,
11
                rst_inout_n,
12
                sdram_clk,
13
                sdram_fb,
14
                sdram_cke,
15
                sdram_cs_n,
16
                sdram_ras_n,
17
                sdram_cas_n,
18
                sdram_we_n,
19
                sdram_ba,
20
                sdram_a,
21
                sdram_udqm,
22
                sdram_ldqm,
23
                sdram_dq,
24
                ssl
25
               );
26
    // clock and reset
27
    input clk_in;
28
    inout rst_inout_n;
29
    // SDRAM
30
    output sdram_clk;
31
    input sdram_fb;
32
    output sdram_cke;
33
    output sdram_cs_n;
34
    output sdram_ras_n;
35
    output sdram_cas_n;
36
    output sdram_we_n;
37
    output [1:0] sdram_ba;
38
    output [12:0] sdram_a;
39
    output sdram_udqm;
40
    output sdram_ldqm;
41
    inout [15:0] sdram_dq;
42
    // 7 segment LED output
43
    output [6:0] ssl;
44
 
45
  // clk_rst
46
  wire clk;
47
  wire clk_ok;
48
  wire rst;
49
  // ram
50
  reg stb;
51
  wire we;
52
  wire [22:0] addr;
53
  wire [31:0] data_in;
54
  wire [31:0] data_out;
55
  wire ack;
56
  // control
57
  reg [27:0] count;
58
  reg next_count;
59
  reg [1:0] state;
60
  reg [1:0] next_state;
61
 
62
  clk_rst clk_rst_1(
63
    .clk_in(clk_in),
64
    .rst_inout_n(rst_inout_n),
65
    .sdram_clk(sdram_clk),
66
    .sdram_fb(sdram_fb),
67
    .clk(clk),
68
    .clk_ok(clk_ok),
69
    .rst(rst)
70
  );
71
 
72
  ram ram_1(
73
    .clk(clk),
74
    .clk_ok(clk_ok),
75
    .rst(rst),
76
    .stb(stb),
77
    .we(we),
78
    .addr(addr[22:0]),
79
    .data_in(data_in[31:0]),
80
    .data_out(data_out[31:0]),
81
    .ack(ack),
82
    .sdram_cke(sdram_cke),
83
    .sdram_cs_n(sdram_cs_n),
84
    .sdram_ras_n(sdram_ras_n),
85
    .sdram_cas_n(sdram_cas_n),
86
    .sdram_we_n(sdram_we_n),
87
    .sdram_ba(sdram_ba),
88
    .sdram_a(sdram_a),
89
    .sdram_udqm(sdram_udqm),
90
    .sdram_ldqm(sdram_ldqm),
91
    .sdram_dq(sdram_dq)
92
  );
93
 
94
  assign we = count[1] & count[0];
95
  assign addr[22:0] = count[22:0];
96
  assign data_in[31:0] = { count[15:0], count[15:0] };
97
 
98
  always @(posedge clk) begin
99
    if (rst) begin
100
      count <= 0;
101
    end else begin
102
      if (next_count) begin
103
        count <= count + 1;
104
      end
105
    end
106
  end
107
 
108
  always @(posedge clk) begin
109
    if (rst) begin
110
      state <= 0;
111
    end else begin
112
      state <= next_state;
113
    end
114
  end
115
 
116
  always @(*) begin
117
    case (state)
118
      2'd0:
119
        begin
120
          stb = 0;
121
          next_count = 0;
122
          next_state = 1;
123
        end
124
      2'd1:
125
        begin
126
          stb = 1;
127
          next_count = 0;
128
          if (ack) begin
129
            next_state = 2;
130
          end else begin
131
            next_state = 1;
132
          end
133
        end
134
      2'd2:
135
        begin
136
          stb = 0;
137
          next_count = 1;
138
          if (count[27]) begin
139
            next_state = 3;
140
          end else begin
141
            next_state = 1;
142
          end
143
        end
144
      2'd3:
145
        begin
146
          stb = 0;
147
          next_count = 0;
148
          next_state = 3;
149
        end
150
    endcase
151
  end
152
 
153
  assign ssl[0] = 0;
154
  assign ssl[1] = | state[1:0];
155
  assign ssl[2] = & state[1:0];
156
  assign ssl[3] = 0;
157
  assign ssl[4] = 0;
158
  assign ssl[5] = 0;
159
  assign ssl[6] = ^ data_out[31:0];
160
 
161
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.