OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [mc/] [boards/] [s3e-500/] [doc/] [dac/] [Makefile] - Blame information for rev 299

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 233 hellwig
#
2
# Makefile for DAC control circuit test
3
#
4
 
5
all:            dac_test
6
 
7 299 hellwig
dac_test:       dac_test.v dac.v
8
                iverilog -Wall -o dac_test dac_test.v dac.v
9 233 hellwig
 
10
run:            dac_test
11
                ./dac_test
12
 
13
dump.vcd:       dac_test
14
                ./dac_test
15
 
16
show:           dump.vcd
17
                gtkwave dump.vcd dac_test.cfg
18
 
19
clean:
20
                rm -f *~ dac_test dump.vcd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.