OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [mc/] [boards/] [s3e-500/] [doc/] [dac/] [dac_test.v] - Blame information for rev 290

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 233 hellwig
//
2
// dac_test.v -- test bench for DAC control circuit
3
//
4
 
5 290 hellwig
 
6 233 hellwig
`include "dac.v"
7
 
8 290 hellwig
 
9 233 hellwig
`timescale 1ns/1ns
10 290 hellwig
`default_nettype none
11 233 hellwig
 
12 290 hellwig
 
13 233 hellwig
module dac_test;
14
 
15
  reg clk;                        // system clock (50 MHz)
16
  reg reset_in;                   // reset, input
17
  reg reset_s1;                   // reset, first synchronizer
18
  reg reset;                      // reset, second synchronizer
19
  reg [15:0] sample_l;
20
  reg [15:0] sample_r;
21
  wire next;
22
  wire sck;
23
  wire sdi;
24
  wire ld;
25
 
26
  // instantiate the controller
27
  dac dac_1(clk, reset,
28
            sample_l, sample_r, next,
29
            sck, sdi, ld);
30
 
31
  // simulation control
32
  initial begin
33
    #0     $dumpfile("dump.vcd");
34
           $dumpvars(0, dac_test);
35
           sample_l = 16'h0FF0;
36
           sample_r = 16'hAA55;
37
           clk = 1;
38
           reset_in = 1;
39
    #145   reset_in = 0;
40
    #90000 $finish;
41
  end
42
 
43
  // clock generator
44
  always begin
45
    #10 clk = ~clk;               // 20 nsec cycle time
46
  end
47
 
48
  // reset synchronizer
49
  always @(posedge clk) begin
50
    reset_s1 <= reset_in;
51
    reset <= reset_s1;
52
  end
53
 
54
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.