OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [mc/] [boards/] [s3e-500/] [doc/] [dac/] [dac_test.v] - Blame information for rev 299

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 233 hellwig
//
2
// dac_test.v -- test bench for DAC control circuit
3
//
4
 
5 290 hellwig
 
6 299 hellwig
`timescale 1ns/10ps
7 290 hellwig
`default_nettype none
8 233 hellwig
 
9 290 hellwig
 
10 233 hellwig
module dac_test;
11
 
12
  reg clk;                        // system clock (50 MHz)
13
  reg reset_in;                   // reset, input
14
  reg reset_s1;                   // reset, first synchronizer
15
  reg reset;                      // reset, second synchronizer
16
  reg [15:0] sample_l;
17
  reg [15:0] sample_r;
18
  wire next;
19
  wire sck;
20
  wire sdi;
21
  wire ld;
22
 
23
  // instantiate the controller
24
  dac dac_1(clk, reset,
25
            sample_l, sample_r, next,
26
            sck, sdi, ld);
27
 
28
  // simulation control
29
  initial begin
30
    #0     $dumpfile("dump.vcd");
31
           $dumpvars(0, dac_test);
32
           sample_l = 16'h0FF0;
33
           sample_r = 16'hAA55;
34
           clk = 1;
35
           reset_in = 1;
36
    #145   reset_in = 0;
37
    #90000 $finish;
38
  end
39
 
40
  // clock generator
41
  always begin
42
    #10 clk = ~clk;               // 20 nsec cycle time
43
  end
44
 
45
  // reset synchronizer
46
  always @(posedge clk) begin
47
    reset_s1 <= reset_in;
48
    reset <= reset_s1;
49
  end
50
 
51
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.