OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [mc/] [boards/] [xsa-xst-3/] [doc/] [dac/] [dac_test.v] - Blame information for rev 290

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 231 hellwig
//
2
// dac_test.v -- test bench for DAC control circuit
3
//
4
 
5 290 hellwig
 
6 231 hellwig
`include "dac.v"
7
 
8 290 hellwig
 
9 231 hellwig
`timescale 1ns/1ns
10 290 hellwig
`default_nettype none
11 231 hellwig
 
12 290 hellwig
 
13 231 hellwig
module dac_test;
14
 
15
  reg clk;                        // system clock (50 MHz)
16
  reg reset_in;                   // reset, input
17
  reg reset_s1;                   // reset, first synchronizer
18
  reg reset;                      // reset, second synchronizer
19
  reg [15:0] sample_l;
20
  reg [15:0] sample_r;
21
  wire next;
22
  wire mclk;
23
  wire sclk;
24
  wire lrck;
25
  wire sdti;
26
 
27
  // instantiate the controller
28
  dac dac_1(clk, reset,
29
            sample_l, sample_r, next,
30
            mclk, sclk, lrck, sdti);
31
 
32
  // simulation control
33
  initial begin
34
    #0     $dumpfile("dump.vcd");
35
           $dumpvars(0, dac_test);
36
           sample_l = 16'h0FF0;
37
           sample_r = 16'hAA55;
38
           clk = 1;
39
           reset_in = 1;
40
    #145   reset_in = 0;
41
    #90000 $finish;
42
  end
43
 
44
  // clock generator
45
  always begin
46
    #10 clk = ~clk;               // 20 nsec cycle time
47
  end
48
 
49
  // reset synchronizer
50
  always @(posedge clk) begin
51
    reset_s1 <= reset_in;
52
    reset <= reset_s1;
53
  end
54
 
55
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.