OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [mc/] [src/] [ser/] [rcv.v] - Blame information for rev 117

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 117 hellwig
//
2
// rcv.v -- serial line receiver
3
//
4
 
5
 
6 27 hellwig
module rcv(clk, reset, full, parallel_out, serial_in);
7
    input clk;
8
    input reset;
9
    output reg full;
10
    output [7:0] parallel_out;
11
    input serial_in;
12
 
13
  reg serial_p;
14
  reg serial_s;
15
  reg [3:0] state;
16
  reg [8:0] shift;
17
  reg [10:0] count;
18
 
19
  assign parallel_out[7:0] = shift[7:0];
20
 
21
  always @(posedge clk) begin
22
    serial_p <= serial_in;
23
    serial_s <= serial_p;
24
  end
25
 
26
  always @(posedge clk) begin
27
    if (reset == 1) begin
28
      state <= 4'h0;
29
      full <= 0;
30
    end else begin
31
      if (state == 4'h0) begin
32
        full <= 0;
33
        if (serial_s == 0) begin
34
          state <= 4'h1;
35
          count <= 651;
36
        end
37
      end else
38
      if (state == 4'hb) begin
39
        state <= 4'h0;
40
        full <= 1;
41
      end else begin
42
        if (count == 0) begin
43
          state <= state + 1;
44
          shift[8:0] <= { serial_s, shift[8:1] };
45
          count <= 1302;
46
        end else begin
47
          count <= count - 1;
48
        end
49
      end
50
    end
51
  end
52
 
53
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.