OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [mc/] [src/] [ser/] [rcvbuf.v] - Blame information for rev 290

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 117 hellwig
//
2
// rcvbuf.v -- serial line receiver buffer
3
//
4
 
5
 
6 290 hellwig
`timescale 1ns/10ps
7
`default_nettype none
8
 
9
 
10 27 hellwig
module rcvbuf(clk, reset, read, ready, data_out, serial_in);
11
    input clk;
12
    input reset;
13
    input read;
14
    output reg ready;
15
    output reg [7:0] data_out;
16
    input serial_in;
17
 
18
  wire full;
19
  wire [7:0] parallel_out;
20
 
21 290 hellwig
  rcv rcv_1(clk, reset, full, parallel_out, serial_in);
22 27 hellwig
 
23
  always @(posedge clk) begin
24
    if (reset == 1) begin
25
      ready <= 0;
26
    end else begin
27
      if (full == 1) begin
28
        data_out <= parallel_out;
29
      end
30
      if (full == 1 || read == 1) begin
31
        ready <= full;
32
      end
33
    end
34
  end
35
 
36
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.