OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [mc-sim/] [eco32test.cfg] - Blame information for rev 322

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 303 hellwig
[timestart] 0
2
[size] 1280 725
3
[pos] -1 -1
4
*-16.000000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
5
[treeopen] eco32test.
6
@200
7
----  CLK_RST  ---
8
@28
9
eco32test.eco32_1.clk
10
eco32test.eco32_1.rst
11
@200
12
----    BUS    ---
13
@28
14
eco32test.eco32_1.bus_stb
15
eco32test.eco32_1.bus_we
16
@22
17
eco32test.eco32_1.bus_addr32[31:0]
18
eco32test.eco32_1.bus_din[31:0]
19
eco32test.eco32_1.bus_dout[31:0]
20
@28
21
eco32test.eco32_1.bus_ack
22
@22
23
eco32test.eco32_1.bus_irq[15:0]
24
@200
25
----    CPU    ---
26
@22
27
eco32test.eco32_1.cpu_1.cpu_core_1.pc[31:0]
28
@28
29
eco32test.eco32_1.cpu_1.cpu_core_1.alu_1.fnc[2:0]
30
@22
31
eco32test.eco32_1.cpu_1.cpu_core_1.alu_1.a[31:0]
32
eco32test.eco32_1.cpu_1.cpu_core_1.alu_1.b[31:0]
33
eco32test.eco32_1.cpu_1.cpu_core_1.alu_1.res[31:0]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.