OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [mc-sim/] [eco32test.v] - Blame information for rev 323

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 303 hellwig
//
2
// eco32test.v -- test bench for ECO32
3
//
4
 
5
 
6
`timescale 1ns/10ps
7
`default_nettype none
8
 
9
 
10
module eco32test;
11
 
12
  integer fd;                   // file descriptor
13
  integer fr;                   // result of file operation
14
  time duration;                // duration of simulation
15
 
16
  reg clk_in;                   // clock, input, 50 MHz
17
  reg rst_in_n;                 // reset, input, active low
18
 
19
  // simulation control
20
  initial begin
21
    #0          $dumpfile("dump.vcd");
22
                $dumpvars(0, eco32test);
23
                fd = $fopen("duration.dat", "r");
24
                fr = $fscanf(fd, "%d", duration);
25
                $fclose(fd);
26
                clk_in = 1;
27
                rst_in_n = 0;
28
    #145        rst_in_n = 1;
29
    #duration   $finish;
30
  end
31
 
32
  // clock generator
33
  always begin
34
    #10 clk_in = ~clk_in;       // 20 nsec cycle time
35
  end
36
 
37
  // create an instance of ECO32
38
  eco32 eco32_1(
39
    .clk_in(clk_in),
40
    .rst_in_n(rst_in_n)
41
  );
42
 
43
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.