OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [mc-vl/] [eco32test.v] - Blame information for rev 309

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 309 hellwig
//
2
// eco32test.v -- test bench for ECO32
3
//
4
 
5
 
6
`timescale 1ns/10ps
7
`default_nettype none
8
 
9
 
10
module eco32test(clk_in, rst_in_n);
11
    input clk_in;               // clock, input, 50 MHz
12
    input rst_in_n;             // reset, input, active low
13
 
14
  // create an instance of ECO32
15
  eco32 eco32_1(
16
    .clk_in(clk_in),
17
    .rst_in_n(rst_in_n)
18
  );
19
 
20
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.