OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [mc-vl/] [src/] [dsp/] [dsp.v] - Blame information for rev 308

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 308 hellwig
//
2
// dsp.v -- character display interface
3
//
4
 
5
 
6
`timescale 1ns/10ps
7
`default_nettype none
8
 
9
 
10
module dsp(clk, rst,
11
           stb, we, addr,
12
           data_in, data_out, ack);
13
    input clk;
14
    input rst;
15
    input stb;
16
    input we;
17
    input [13:2] addr;
18
    input [15:0] data_in;
19
    output [15:0] data_out;
20
    output ack;
21
 
22
  integer dsp_out;              // file handle for display output
23
 
24
  reg [15:0] mem[0:4095]; // 32 x 128 attr/char display memory
25
 
26
  initial begin
27
    dsp_out = $fopen("dsp.out", "w");
28
  end
29
 
30
  always @(posedge clk) begin
31
    if (stb & we) begin
32
      mem[addr[13:2]] <= data_in[15:0];
33
      $fdisplay(dsp_out,
34
                "row = %d, col = %d, attr = 0x%h, char = 0x%h",
35
                addr[13:9], addr[8:2], data_in[15:8], data_in[7:0]);
36
    end
37
  end
38
 
39
  assign data_out[15:0] = mem[addr[13:2]];
40
  assign ack = stb;
41
 
42
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.