OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [simtest/] [rom/] [rom08.s] - Blame information for rev 150

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 37 hellwig
;
2
; rom08.s -- string output with delay loop
3
;
4
 
5
; $8  I/O base address
6
; $9  temporary value
7
; $10 character
8
; $11 pointer to string
9
; $31 return address
10
 
11
        .set    oba,0xF0300000
12
 
13
        add     $8,$0,oba
14
        add     $11,$0,hello
15
loop:
16
        ldbu    $10,$11,0
17
stop:
18
        beq     $10,$0,stop
19
        jal     out
20
        add     $11,$11,1
21
        jal     delay
22
        j       loop
23
 
24
out:
25
        stw     $10,$8,12
26
        jr      $31
27
 
28
delay:
29
        add     $9,$0,0x00200000
30
del1:
31
        sub     $9,$9,1
32
        bne     $9,$0,del1
33
        jr      $31
34
 
35
hello:
36
        .byte   "Hello, world!", 0x0D, 0x0A, 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.