OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [simtest/] [rom/] [rom09.s] - Blame information for rev 150

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 37 hellwig
;
2
; rom09.s -- string output with timing loop
3
;
4
 
5
; $8  I/O base address
6
; $9  temporary value
7
; $10 character
8
; $11 pointer to string
9
; $12 timer base address
10
; $13 timer value
11
; $31 return address
12
 
13
        .set    oba,0xF0300000
14
        .set    tba,0xF0000000
15
 
16
        add     $8,$0,oba
17
        add     $12,$0,tba
18
        add     $11,$0,hello
19
loop:
20
        ldbu    $10,$11,0
21
stop:
22
        beq     $10,$0,stop
23
        jal     out
24
        add     $11,$11,1
25
        jal     timing
26
        j       loop
27
 
28
out:
29
        stw     $10,$8,12
30
        jr      $31
31
 
32
timing:
33
        ldw     $13,$12,8
34
        sub     $9,$13,0x03C00000
35
tim1:
36
        ldw     $13,$12,8
37
        bgtu    $13,$9,tim1
38
        jr      $31
39
 
40
hello:
41
        .byte   "Hello, world!", 0x0D, 0x0A, 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.