OpenCores
URL https://opencores.org/ocsvn/ecpu_alu/ecpu_alu/trunk

Subversion Repositories ecpu_alu

[/] [ecpu_alu/] [trunk/] [alu/] [systemc/] [obj_dir/] [sc_main.cpp] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 leonous
  #include "scv.h"
2
  #include "Valu_tb.h"
3
  #include "Valu_tb_alu_tb.h"
4
  int sc_main(int argc, char **argv) {
5
 
6
     sc_clock clk ("clk",10, 0.5, 3, true);
7
     sc_uint<16> anint;
8
     scv_smart_ptr<int> aptr ("aptr");
9
     aptr->keep_only(0, ((1<<16)-1));
10
     aptr->next();
11
     Valu_tb* top;
12
     top = new Valu_tb("top");   // SP_CELL (top, Vour);
13
     cout << "anint = " << anint<<endl;
14
     cout << "A=" << top->v->A << endl;
15
     cout << "Aptr=" << *aptr << endl;
16
     top->systemc_clk(clk);
17
 
18
  // Create trace file
19
  sc_trace_file *tf = sc_create_vcd_trace_file("tracefile");
20
  // Trace signals
21
  sc_trace(tf, top->systemc_clk, "top_systemc_clk");
22
  sc_trace(tf, top->v->A, "top_v_A");
23
  sc_trace(tf, top->v->B, "top_v_B");
24
  sc_trace(tf, top->v->Y, "top_v_Y");
25
  sc_trace(tf, top->v->S, "top_v_S");
26
  sc_trace(tf, top->v->clk, "top_v_clk");
27
  sc_trace(tf, top->v->C, "top_v_C");
28
  sc_trace(tf, top->v->V, "top_v_V");
29
  sc_trace(tf, top->v->Z, "top_v_Z");
30
 
31
     while (!Verilated::gotFinish()) { sc_start(1, SC_NS); }
32
     exit(0);
33
  }

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.