OpenCores
URL https://opencores.org/ocsvn/encore/encore/trunk

Subversion Repositories encore

[/] [encore/] [trunk/] [fpmult/] [src/] [fpmult_stage0_comp.vhdl] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 aloy.amber
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
use work.fp_generic.all;
5
use work.fpmult_generic.all;
6
use work.fpmult_stageN_comp.all;
7
 
8
package fpmult_stage0_comp is
9
        type fpmult_stage0_in_type is record
10
                a:fp_type;
11
                b:fp_type;
12
        end record;
13
 
14
        alias fpmult_stage0_out_type is fpmult_stageN_in_type;
15
 
16
        component fpmult_stage0 is
17
                port(
18
                        clk:in std_logic;
19
                        d:in fpmult_stage0_in_type;
20
                        q:out fpmult_stage0_out_type
21
                );
22
        end component;
23
end package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.