OpenCores
URL https://opencores.org/ocsvn/encore/encore/trunk

Subversion Repositories encore

[/] [encore/] [trunk/] [fpmult/] [src/] [test_fpmult.vhdl] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 aloy.amber
library ieee;
2
use ieee.std_logic_1164.all;
3
use work.fp_generic.all;
4
use work.fpmult_comp.all;
5
 
6
entity test_fpmult is
7
end;
8
 
9
architecture testbench of test_fpmult is
10
        signal clk:std_logic:='0';
11
        signal d:fpmult_in_type;
12
        signal q:fpmult_out_type;
13
begin
14
 
15
        dut:fpmult port map(clk,d,q);
16
 
17
        clock:process
18
        begin
19
           wait for 10 ns; clk  <= not clk;
20
        end process clock;
21
 
22
        stimulus:process
23
        begin
24
          d.a<="00111111110110010100011101010101";  -- 0x3FD94755 -> 1.69748938
25
          d.b<="00111111101101110110110011100001";  -- 0x3FB76CE1 -> 1.43301022
26
    wait;
27
        end process stimulus;
28
 
29
end testbench;
30
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.