OpenCores
URL https://opencores.org/ocsvn/esoc/esoc/trunk

Subversion Repositories esoc

[/] [esoc/] [trunk/] [Simulation/] [Logs/] [5/] [esoc_rgmii_test_rx_port_7_log_5.txt] - Blame information for rev 41

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 41 lmaarsen
55 55 55 55 55 55 55 D5 00 71 02 24 06 04 00 71
2
02 24 06 07 81 00 0F FF 08 00 00 01 02 03 04 05
3
06 07 08 09 0A 0B 0C 0D 0E 0F 10 11 12 13 14 15
4
16 17 18 19 1A 1B 1C 1D 1E 1F 20 21 22 23 24 25
5
26 27 28 29 2A 2B 2C 2D 2E 2F 30 31 32 33 34 35
6
36 37 38 39 3A 3B 3C 3D 3E 3F 40 41 42 43 44 45
7
46 47 48 49 4A 4B 4C 4D 4E 4F 50 51 52 53 54 55
8
56 57 58 59 5A 5B 5C 5D 5E 5F 60 61 62 63 64 65
9
66 67 68 69 6A 6B 6C 6D 6E 6F 70 71 72 73 74 75
10
76 77 78 79 7A 7B 7C 7D 7E 7F 80 81 82 83 84 85
11
86 87 88 89 8A 8B 8C 8D 8E 8F 90 91 92 93 94 95
12
96 97 98 99 9A 9B 9C 9D 9E 9F A0 A1 A2 A3 A4 A5
13
A6 A7 A8 A9 AA AB AC AD AE AF B0 B1 B2 B3 B4 B5
14
B6 B7 B8 B9 BA BB BC BD BE BF C0 C1 C2 C3 C4 C5
15
C6 C7 C8 C9 CA CB CC CD CE CF D0 D1 D2 D3 D4 D5
16
D6 D7 D8 D9 DA DB DC DD DE DF E0 E1 E2 E3 E4 E5
17
E6 E7 E8 E9 EA EB EC ED EE EF F0 F1 F2 F3 F4 F5
18
F6 F7 F8 F9 FA FB FC FD FE FF 00 01 02 03 04 05
19
06 07 08 09 0A 0B 0C 0D 0E 0F 10 11 12 13 14 15
20
16 17 18 19 1A 1B 1C 1D 1E 1F 20 21 22 28 F9 7A
21
2B
22
 
23
55 55 55 55 55 55 55 D5 00 71 02 24 06 04 00 71
24
02 24 06 07 81 00 0F FF 08 00 00 01 02 03 04 05
25
06 07 08 09 0A 0B 0C 0D 0E 0F 10 11 12 13 14 15
26
16 17 18 19 1A 1B 1C 1D 1E 1F 20 21 22 23 24 25
27
26 27 28 29 2A 2B 2C 2D 2E 2F 30 31 32 33 34 35
28
36 37 38 39 3A 3B 3C 3D 3E 3F 40 41 42 43 44 45
29
46 47 48 49 4A 4B 4C 4D 4E 4F 50 51 52 53 54 55
30
56 57 58 59 5A 5B 5C 5D 5E 5F 60 61 62 63 64 65
31
66 67 68 69 6A 6B 6C 6D 6E 6F 70 71 72 73 74 75
32
76 77 78 79 7A 7B 7C 7D 7E 7F 80 81 82 83 84 85
33
86 87 88 89 8A 8B 8C 8D 8E 8F 90 91 92 93 94 95
34
96 97 98 99 9A 9B 9C 9D 9E 9F A0 A1 A2 A3 A4 A5

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.