OpenCores
URL https://opencores.org/ocsvn/esoc/esoc/trunk

Subversion Repositories esoc

[/] [esoc/] [trunk/] [Simulation/] [Modelsim/] [transcript] - Blame information for rev 41

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 41 lmaarsen
do simulate.do
2
Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
3
-- Loading package standard
4
-- Loading package std_logic_1164
5
-- Loading package altera_mf_components
6
-- Loading package sgate_pack
7
-- Compiling entity esoc_emac_c3
8
-- Compiling architecture rtl of esoc_emac_c3
9
Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
10
-- Loading package standard
11
-- Loading package std_logic_1164
12
-- Loading package textio
13
-- Loading package numeric_std
14
-- Loading package esoc_configuration
15
-- Loading package package_txt_utilities
16
-- Compiling entity esoc_tb
17
-- Compiling architecture esoc_tb of esoc_tb
18
vsim -t ps work.esoc_tb
19
Loading std.standard
20
Loading ieee.std_logic_1164(body)
21
Loading std.textio(body)
22
Loading ieee.numeric_std(body)
23
Loading work.esoc_configuration(body)
24
Loading work.package_txt_utilities(body)
25
Loading work.esoc_tb(esoc_tb)
26
Loading work.esoc(structure)
27
Loading work.esoc_port(esoc_port)
28
Loading work.esoc_emac(structure)
29
Loading work.esoc_emal(esoc_emal)
30
Loading work.esoc_emal_control(esoc_emal_control)
31
Loading work.esoc_emal_inbound(esoc_emal_inbound)
32
Loading work.esoc_emal_outbound(esoc_emal_outbound)
33
Loading work.esoc_emal_clock(esoc_emal_clock)
34
Loading altera_mf.altera_mf_components
35
Loading sgate.sgate_pack(body)
36
Loading work.esoc_emac_c3(rtl)
37
Loading ieee.std_logic_arith(body)
38
Loading ieee.std_logic_unsigned(body)
39
Loading altera_mf.altera_device_families(body)
40
Loading altera_mf.altera_common_conversion(body)
41
Loading altera_mf.altera_mf_hint_evaluation(body)
42
Loading altera_mf.alt3pram(behavior)
43
Loading altera_mf.altsyncram(translated)
44
Loading altera_mf.altddio_in(behave)
45
Loading altera_mf.altddio_out(behave)
46
Loading altera_mf.altshift_taps(behavioural)
47
Loading ieee.std_logic_signed(body)
48
Loading sgate.oper_add(sim_arch)
49
Loading sgate.oper_decoder(sim_arch)
50
Loading sgate.oper_less_than(sim_arch)
51
Loading sgate.oper_mux(sim_arch)
52
Loading sgate.oper_selector(sim_arch)
53
Loading work.esoc_packet_process(structure)
54
Loading work.esoc_control(esoc_control)
55
Loading work.esoc_reset(esoc_reset)
56
Loading work.esoc_arbiter(esoc_arbiter)
57
Loading work.esoc_search(esoc_search)
58
Loading work.esoc_pll1_c3(syn)
59
Loading altera_mf.mf_pllpack(body)
60
Loading altera_mf.altpll(behavior)
61
Loading altera_mf.mf_cycloneiii_pll(vital_pll)
62
Loading altera_mf.mf_cda_mn_cntr(behave)
63
Loading altera_mf.mf_cda_scale_cntr(behave)
64
Loading work.esoc_pll2_c3(syn)
65
** Warning: (vsim-WLF-5000) Waveform log file vsim.wlf currently in use.
66
File in use by: Saskia & Bert  Hostname: NETBOOK  ProcessID: 2492
67
          Attempting to use alternate file "./wlft2ntq2b".
68
** Warning: (vsim-WLF-5001) Could not open waveform log file vsim.wlf.  Using ./wlft2ntq2b instead.
69
** Note: Cyclone III PLL locked to incoming clock
70
   Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u1/altpll_component/cycloneiii_altpll/m5
71
** Note: Cyclone III PLL locked to incoming clock
72
   Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u3/altpll_component/cycloneiii_altpll/m5
73
** Note: ESOC -> reset released
74
   Time: 1 us  Iteration: 0  Instance: /esoc_tb
75
** Note: ESOC -> generate read/write cycles on control interface
76
   Time: 2 us  Iteration: 0  Instance: /esoc_tb
77
** Note: ESOC -> read from address 8000h 00000000h, expected 00000000h, status: OK
78
   Time: 2150 ns  Iteration: 0  Instance: /esoc_tb
79
** Note: ESOC -> read from address 8001h 00010000h, expected 00010000h, status: OK
80
   Time: 2290 ns  Iteration: 0  Instance: /esoc_tb
81
** Note: ESOC -> read from address 8002h 00000008h, expected 00000008h, status: OK
82
   Time: 2430 ns  Iteration: 0  Instance: /esoc_tb
83
** Note: ESOC -> write 32100123h to address 8000h
84
   Time: 2430 ns  Iteration: 0  Instance: /esoc_tb
85
** Note: ESOC -> read from address 8000h 32100123h, expected 32100123h, status: OK
86
   Time: 2790 ns  Iteration: 0  Instance: /esoc_tb
87
** Error: ESOC -> wait for 2000ns
88
   Time: 2790 ns  Iteration: 0  Instance: /esoc_tb
89
** Note: ESOC -> read from address 8800h 00000000h, expected 00000000h, status: OK
90
   Time: 4950 ns  Iteration: 0  Instance: /esoc_tb
91
** Note: ESOC -> write FED00DEFh to address 8800h
92
   Time: 4950 ns  Iteration: 0  Instance: /esoc_tb
93
** Note: ESOC -> read from address 8800h FED00DEFh, expected FED00DEFh, status: OK
94
   Time: 5310 ns  Iteration: 0  Instance: /esoc_tb
95
** Error: ESOC -> wait for 2000ns
96
   Time: 5310 ns  Iteration: 0  Instance: /esoc_tb
97
** Note: ESOC -> read from address 0005h 000005EEh, expected 000005EEh, status: OK
98
   Time: 7490 ns  Iteration: 0  Instance: /esoc_tb
99
** Note: ESOC -> write 00000432h to address 0005h
100
   Time: 7490 ns  Iteration: 0  Instance: /esoc_tb
101
** Note: ESOC -> read from address 0005h 00000432h, expected 00000432h, status: OK
102
   Time: 7870 ns  Iteration: 0  Instance: /esoc_tb
103
** Error: ESOC -> wait for 2000ns
104
   Time: 7870 ns  Iteration: 0  Instance: /esoc_tb
105
** Note: ESOC -> read from address 0100h 00000001h, expected 00000001h, status: OK
106
   Time: 10030 ns  Iteration: 0  Instance: /esoc_tb
107
** Note: ESOC -> write ABC00ABCh to address 0100h
108
   Time: 10030 ns  Iteration: 0  Instance: /esoc_tb
109
** Note: ESOC -> read from address 0100h ABC00ABCh, expected ABC00ABCh, status: OK
110
   Time: 10390 ns  Iteration: 0  Instance: /esoc_tb
111
** Error: ESOC -> wait for 2000ns
112
   Time: 10390 ns  Iteration: 0  Instance: /esoc_tb
113
** Note: ESOC -> read from address 3805h 000005EEh, expected 000005EEh, status: OK
114
   Time: 12570 ns  Iteration: 0  Instance: /esoc_tb
115
** Note: ESOC -> write 00000100h to address 3805h
116
   Time: 12570 ns  Iteration: 0  Instance: /esoc_tb
117
** Note: ESOC -> read from address 3805h 00000100h, expected 00000100h, status: OK
118
   Time: 12950 ns  Iteration: 0  Instance: /esoc_tb
119
** Error: ESOC -> wait for 2000ns
120
   Time: 12950 ns  Iteration: 0  Instance: /esoc_tb
121
** Note: ESOC -> read from address 3900h 00000001h, expected 00000001h, status: OK
122
   Time: 15110 ns  Iteration: 0  Instance: /esoc_tb
123
** Note: ESOC -> write 12300321h to address 3900h
124
   Time: 15110 ns  Iteration: 0  Instance: /esoc_tb
125
** Note: ESOC -> read from address 3900h 12300321h, expected 12300321h, status: OK
126
   Time: 15470 ns  Iteration: 0  Instance: /esoc_tb
127
** Error: ESOC -> wait for 2000ns
128
   Time: 15470 ns  Iteration: 0  Instance: /esoc_tb
129
** Note: ESOC -> read from address 8000h 32100123h, expected 32100123h, status: OK
130
   Time: 17630 ns  Iteration: 0  Instance: /esoc_tb
131
** Note: ESOC -> read from address 8800h FED00DEFh, expected FED00DEFh, status: OK
132
   Time: 17770 ns  Iteration: 0  Instance: /esoc_tb
133
** Note: ESOC -> read from address 0005h 00000432h, expected 00000432h, status: OK
134
   Time: 17930 ns  Iteration: 0  Instance: /esoc_tb
135
** Note: ESOC -> read from address 0100h ABC00ABCh, expected ABC00ABCh, status: OK
136
   Time: 18070 ns  Iteration: 0  Instance: /esoc_tb
137
** Note: ESOC -> read from address 3805h 00000100h, expected 00000100h, status: OK
138
   Time: 18230 ns  Iteration: 0  Instance: /esoc_tb
139
** Note: ESOC -> read from address 3900h 12300321h, expected 12300321h, status: OK
140
   Time: 18370 ns  Iteration: 0  Instance: /esoc_tb

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.