OpenCores
URL https://opencores.org/ocsvn/esoc/esoc/trunk

Subversion Repositories esoc

[/] [esoc/] [trunk/] [Simulation/] [Modelsim/] [vish_stacktrace.vstf] - Blame information for rev 41

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 41 lmaarsen
# Current time Mon Dec 10 12:31:04 2012
2
# ModelSim Stack Trace
3
# Program = vish
4
# Id = "6.3g_p1"
5
# Version = "2008.08"
6
# Date = "Aug 13 2008"
7
# Platform = win32pe
8
 
9
Exception c0000005 has occurred at address 004e8f8d. Traceback:
10
# 0    0x004e8f8d: 'Mtirpc_Init + 0x8cd0d'
11
# 1    0x005024ff: 'Mtirpc_Init + 0xa627f'
12
# 2    0x0048aad5: 'Mtirpc_Init + 0x2e855'
13
# 3    0x004d44da: 'Mtirpc_Init + 0x7825a'
14
# 4    0x004d43e3: 'Mtirpc_Init + 0x78163'
15
# 5    0x004d3c0b: 'Mtirpc_Init + 0x7798b'
16
# 6    0x004d5939: 'Mtirpc_Init + 0x796b9'
17
# 7    0x0047b70d: 'Mtirpc_Init + 0x1f48d'
18
# 8    0x0047f7d4: 'Mtirpc_Init + 0x23554'
19
# 9    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
20
# 10   0x1002cad7: 'TclCompEvalObj + 0x637'
21
# 11   0x1002c590: 'TclCompEvalObj + 0xf0'
22
# 12   0x1000e159: 'Tcl_EvalObjEx + 0x59'
23
# 13   0x02f19390: 'Itcl_EvalMemberCode + 0x210'
24
# 14   0x047cdd20: ''
25
StackWalk failed 126
26
# End of Stack Trace
27
 
28
# Current time Thu Sep 12 12:45:52 2013
29
# ModelSim Stack Trace
30
# Program = vish
31
# Id = "6.3g_p1"
32
# Version = "2008.08"
33
# Date = "Aug 13 2008"
34
# Platform = win32pe
35
 
36
Exception c0000005 has occurred at address 0051f230. Traceback:
37
# 0    0x0051f230: 'Mtirpc_Init + 0xc2fb0'
38
# 1    0x004e83c6: 'Mtirpc_Init + 0x8c146'
39
# 2    0x004e7def: 'Mtirpc_Init + 0x8bb6f'
40
# 3    0x00538039: 'Mkziplib_SafeInit + 0x5fe9'
41
# 4    0x004ec3f0: 'Mtirpc_Init + 0x90170'
42
# 5    0x004dbff4: 'Mtirpc_Init + 0x7fd74'
43
# 6    0x0048a616: 'Mtirpc_Init + 0x2e396'
44
# 7    0x004d4440: 'Mtirpc_Init + 0x781c0'
45
# 8    0x004d43f6: 'Mtirpc_Init + 0x78176'
46
# 9    0x004d3c0b: 'Mtirpc_Init + 0x7798b'
47
# 10   0x100583ea: 'TclServiceIdle + 0x4a'
48
# 11   0x1004b834: 'Tcl_DoOneEvent + 0x124'
49
# 12   0x1002bd68: 'Tcl_VwaitObjCmd + 0x78'
50
# 13   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
51
# 14   0x1002cad7: 'TclCompEvalObj + 0x637'
52
# 15   0x1002c590: 'TclCompEvalObj + 0xf0'
53
# 16   0x1005383a: 'TclObjInterpProc + 0x26a'
54
# 17   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
55
# 18   0x1000dce0: 'Tcl_EvalEx + 0x180'
56
# 19   0x1000e328: 'Tcl_EvalObjEx + 0x228'
57
# 20   0x10011ebc: 'Tcl_EvalObjCmd + 0x6c'
58
# 21   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
59
# 22   0x1002cad7: 'TclCompEvalObj + 0x637'
60
# 23   0x1002c590: 'TclCompEvalObj + 0xf0'
61
# 24   0x1005383a: 'TclObjInterpProc + 0x26a'
62
# 25   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
63
# 26   0x1000dce0: 'Tcl_EvalEx + 0x180'
64
# 27   0x1000e066: 'Tcl_Eval + 0x16'
65
# 28   0x1000f31c: 'Tcl_GlobalEval + 0x1c'
66
# 29   0x004670e7: 'Mtirpc_Init + 0xae67'
67
# 30   0x1000c74b: 'TclInvokeStringCommand + 0x6b'
68
# 31   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
69
# 32   0x1000dce0: 'Tcl_EvalEx + 0x180'
70
# 33   0x1000e066: 'Tcl_Eval + 0x16'
71
# 34   0x1000f31c: 'Tcl_GlobalEval + 0x1c'
72
# 35   0x00466ec2: 'Mtirpc_Init + 0xac42'
73
# 36   0x1000c74b: 'TclInvokeStringCommand + 0x6b'
74
# 37   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
75
# 38   0x1002cad7: 'TclCompEvalObj + 0x637'
76
# 39   0x1002c590: 'TclCompEvalObj + 0xf0'
77
# 40   0x1005383a: 'TclObjInterpProc + 0x26a'
78
# 41   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
79
# 42   0x1002cad7: 'TclCompEvalObj + 0x637'
80
# 43   0x1002c590: 'TclCompEvalObj + 0xf0'
81
# 44   0x1005383a: 'TclObjInterpProc + 0x26a'
82
# 45   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
83
# 46   0x1002cad7: 'TclCompEvalObj + 0x637'
84
# 47   0x1002c590: 'TclCompEvalObj + 0xf0'
85
# 48   0x1000e159: 'Tcl_EvalObjEx + 0x59'
86
# 49   0x10014334: 'Tcl_IfObjCmd + 0x194'
87
# 50   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
88
# 51   0x1000dce0: 'Tcl_EvalEx + 0x180'
89
# 52   0x100589a4: 'Tcl_AfterObjCmd + 0x574'
90
# 53   0x100583ea: 'TclServiceIdle + 0x4a'
91
# 54   0x1004b834: 'Tcl_DoOneEvent + 0x124'
92
# 55   0x10262446: 'Tk_MainLoop + 0x16'
93
# 56   0x0046aa34: 'Mtirpc_Init + 0xe7b4'
94
# 57   0x0046baf7: 'Mtirpc_Init + 0xf877'
95
# 58   0x005eec35: ''
96
# 59   0x7c81776f: ''
97
StackWalk failed 487
98
# End of Stack Trace
99
 
100
# Current time Thu Sep 26 12:54:31 2013
101
# ModelSim Stack Trace
102
# Program = vish
103
# Id = "6.3g_p1"
104
# Version = "2008.08"
105
# Date = "Aug 13 2008"
106
# Platform = win32pe
107
 
108
Exception c0000005 has occurred at address 10229069. Traceback:
109
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
110
# 1    0x10229173: 'XCopyArea + 0x23'
111
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
112
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
113
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
114
# 5    0x10259184: 'Tk_UpdateObjCmd + 0x74'
115
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
116
# 7    0x1000dce0: 'Tcl_EvalEx + 0x180'
117
# 8    0x1000e328: 'Tcl_EvalObjEx + 0x228'
118
# 9    0x10011ebc: 'Tcl_EvalObjCmd + 0x6c'
119
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
120
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
121
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
122
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
123
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
124
# 15   0x1002cad7: 'TclCompEvalObj + 0x637'
125
# 16   0x1002c590: 'TclCompEvalObj + 0xf0'
126
# 17   0x1005383a: 'TclObjInterpProc + 0x26a'
127
# 18   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
128
# 19   0x1002cad7: 'TclCompEvalObj + 0x637'
129
# 20   0x1002c590: 'TclCompEvalObj + 0xf0'
130
# 21   0x1000e159: 'Tcl_EvalObjEx + 0x59'
131
# 22   0x02b19390: 'Itcl_EvalMemberCode + 0x210'
132
# 23   0x04ebc110: ''
133
StackWalk failed 126
134
# End of Stack Trace
135
 
136
# Current time Thu Sep 26 12:54:31 2013
137
# ModelSim Stack Trace
138
# Program = vish
139
# Id = "6.3g_p1"
140
# Version = "2008.08"
141
# Date = "Aug 13 2008"
142
# Platform = win32pe
143
 
144
Exception c0000005 has occurred at address 10229069. Traceback:
145
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
146
# 1    0x10229173: 'XCopyArea + 0x23'
147
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
148
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
149
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
150
# 5    0x1002bd68: 'Tcl_VwaitObjCmd + 0x78'
151
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
152
# 7    0x1002cad7: 'TclCompEvalObj + 0x637'
153
# 8    0x1002c590: 'TclCompEvalObj + 0xf0'
154
# 9    0x1005383a: 'TclObjInterpProc + 0x26a'
155
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
156
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
157
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
158
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
159
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
160
# 15   0x1000dce0: 'Tcl_EvalEx + 0x180'
161
# 16   0x1000e066: 'Tcl_Eval + 0x16'
162
# 17   0x004675ea: 'Mtirpc_Init + 0xb36a'
163
# 18   0x0046a819: 'Mtirpc_Init + 0xe599'
164
# 19   0x0046a8e3: 'Mtirpc_Init + 0xe663'
165
# 20   0x0046bb1a: 'Mtirpc_Init + 0xf89a'
166
# 21   0x005eec35: ''
167
# 22   0x7c81776f: ''
168
StackWalk failed 487
169
# End of Stack Trace
170
 
171
# Current time Thu Sep 26 12:54:31 2013
172
# ModelSim Stack Trace
173
# Program = vish
174
# Id = "6.3g_p1"
175
# Version = "2008.08"
176
# Date = "Aug 13 2008"
177
# Platform = win32pe
178
 
179
Exception c0000005 has occurred at address 10229069. Traceback:
180
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
181
# 1    0x10229173: 'XCopyArea + 0x23'
182
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
183
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
184
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
185
# 5    0x1002bd68: 'Tcl_VwaitObjCmd + 0x78'
186
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
187
# 7    0x1002cad7: 'TclCompEvalObj + 0x637'
188
# 8    0x1002c590: 'TclCompEvalObj + 0xf0'
189
# 9    0x1005383a: 'TclObjInterpProc + 0x26a'
190
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
191
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
192
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
193
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
194
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
195
# 15   0x1000dce0: 'Tcl_EvalEx + 0x180'
196
# 16   0x1000e066: 'Tcl_Eval + 0x16'
197
# 17   0x004675ea: 'Mtirpc_Init + 0xb36a'
198
# 18   0x0046a819: 'Mtirpc_Init + 0xe599'
199
# 19   0x0046a8e3: 'Mtirpc_Init + 0xe663'
200
# 20   0x0046bb1a: 'Mtirpc_Init + 0xf89a'
201
# 21   0x005eec35: ''
202
# 22   0x7c81776f: ''
203
StackWalk failed 487
204
# End of Stack Trace
205
 
206
# Current time Thu Sep 26 12:54:31 2013
207
# ModelSim Stack Trace
208
# Program = vish
209
# Id = "6.3g_p1"
210
# Version = "2008.08"
211
# Date = "Aug 13 2008"
212
# Platform = win32pe
213
 
214
Exception c0000005 has occurred at address 10229069. Traceback:
215
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
216
# 1    0x10229173: 'XCopyArea + 0x23'
217
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
218
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
219
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
220
# 5    0x1002bd68: 'Tcl_VwaitObjCmd + 0x78'
221
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
222
# 7    0x1002cad7: 'TclCompEvalObj + 0x637'
223
# 8    0x1002c590: 'TclCompEvalObj + 0xf0'
224
# 9    0x1005383a: 'TclObjInterpProc + 0x26a'
225
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
226
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
227
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
228
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
229
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
230
# 15   0x1000dce0: 'Tcl_EvalEx + 0x180'
231
# 16   0x1000e066: 'Tcl_Eval + 0x16'
232
# 17   0x004675ea: 'Mtirpc_Init + 0xb36a'
233
# 18   0x0046a819: 'Mtirpc_Init + 0xe599'
234
# 19   0x0046a8e3: 'Mtirpc_Init + 0xe663'
235
# 20   0x0046bb1a: 'Mtirpc_Init + 0xf89a'
236
# 21   0x005eec35: ''
237
# 22   0x7c81776f: ''
238
StackWalk failed 487
239
# End of Stack Trace
240
 
241
# Current time Thu Sep 26 12:54:51 2013
242
# ModelSim Stack Trace
243
# Program = vish
244
# Id = "6.3g_p1"
245
# Version = "2008.08"
246
# Date = "Aug 13 2008"
247
# Platform = win32pe
248
 
249
Exception c0000005 has occurred at address 10229069. Traceback:
250
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
251
# 1    0x10229173: 'XCopyArea + 0x23'
252
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
253
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
254
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
255
# 5    0x10259170: 'Tk_UpdateObjCmd + 0x60'
256
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
257
# 7    0x1000dce0: 'Tcl_EvalEx + 0x180'
258
# 8    0x1000e328: 'Tcl_EvalObjEx + 0x228'
259
# 9    0x10011ebc: 'Tcl_EvalObjCmd + 0x6c'
260
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
261
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
262
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
263
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
264
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
265
# 15   0x1002cad7: 'TclCompEvalObj + 0x637'
266
# 16   0x1002c590: 'TclCompEvalObj + 0xf0'
267
# 17   0x1000e159: 'Tcl_EvalObjEx + 0x59'
268
# 18   0x02b19390: 'Itcl_EvalMemberCode + 0x210'
269
# 19   0x02ddd470: ''
270
StackWalk failed 126
271
# End of Stack Trace
272
 
273
# Current time Thu Sep 26 12:55:32 2013
274
# ModelSim Stack Trace
275
# Program = vish
276
# Id = "6.3g_p1"
277
# Version = "2008.08"
278
# Date = "Aug 13 2008"
279
# Platform = win32pe
280
 
281
Exception c0000005 has occurred at address 10229069. Traceback:
282
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
283
# 1    0x10229173: 'XCopyArea + 0x23'
284
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
285
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
286
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
287
# 5    0x10259170: 'Tk_UpdateObjCmd + 0x60'
288
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
289
# 7    0x1000dce0: 'Tcl_EvalEx + 0x180'
290
# 8    0x1000e328: 'Tcl_EvalObjEx + 0x228'
291
# 9    0x10011ebc: 'Tcl_EvalObjCmd + 0x6c'
292
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
293
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
294
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
295
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
296
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
297
# 15   0x1002cad7: 'TclCompEvalObj + 0x637'
298
# 16   0x1002c590: 'TclCompEvalObj + 0xf0'
299
# 17   0x1000e159: 'Tcl_EvalObjEx + 0x59'
300
# 18   0x02b19390: 'Itcl_EvalMemberCode + 0x210'
301
# 19   0x0246d470: ''
302
StackWalk failed 126
303
# End of Stack Trace
304
 
305
# Current time Fri Sep 27 07:37:47 2013
306
# ModelSim Stack Trace
307
# Program = vish
308
# Id = "6.3g_p1"
309
# Version = "2008.08"
310
# Date = "Aug 13 2008"
311
# Platform = win32pe
312
 
313
Exception c0000005 has occurred at address 10229069. Traceback:
314
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
315
# 1    0x10229173: 'XCopyArea + 0x23'
316
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
317
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
318
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
319
# 5    0x10262446: 'Tk_MainLoop + 0x16'
320
# 6    0x0046aa34: 'Mtirpc_Init + 0xe7b4'
321
# 7    0x0046baf7: 'Mtirpc_Init + 0xf877'
322
# 8    0x005eec35: ''
323
# 9    0x7c81776f: ''
324
StackWalk failed 487
325
# End of Stack Trace
326
 
327
# Current time Fri Sep 27 07:37:48 2013
328
# ModelSim Stack Trace
329
# Program = vish
330
# Id = "6.3g_p1"
331
# Version = "2008.08"
332
# Date = "Aug 13 2008"
333
# Platform = win32pe
334
 
335
Exception c0000005 has occurred at address 10229069. Traceback:
336
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
337
# 1    0x10229173: 'XCopyArea + 0x23'
338
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
339
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
340
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
341
# 5    0x1002bd68: 'Tcl_VwaitObjCmd + 0x78'
342
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
343
# 7    0x1002cad7: 'TclCompEvalObj + 0x637'
344
# 8    0x1002c590: 'TclCompEvalObj + 0xf0'
345
# 9    0x1005383a: 'TclObjInterpProc + 0x26a'
346
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
347
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
348
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
349
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
350
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
351
# 15   0x1000dce0: 'Tcl_EvalEx + 0x180'
352
# 16   0x1000e066: 'Tcl_Eval + 0x16'
353
# 17   0x004675ea: 'Mtirpc_Init + 0xb36a'
354
# 18   0x0046a819: 'Mtirpc_Init + 0xe599'
355
# 19   0x0046a8e3: 'Mtirpc_Init + 0xe663'
356
# 20   0x0046bb1a: 'Mtirpc_Init + 0xf89a'
357
# 21   0x005eec35: ''
358
# 22   0x7c81776f: ''
359
StackWalk failed 487
360
# End of Stack Trace
361
 
362
# Current time Fri Sep 27 07:37:48 2013
363
# ModelSim Stack Trace
364
# Program = vish
365
# Id = "6.3g_p1"
366
# Version = "2008.08"
367
# Date = "Aug 13 2008"
368
# Platform = win32pe
369
 
370
Exception c0000005 has occurred at address 10229069. Traceback:
371
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
372
# 1    0x10229173: 'XCopyArea + 0x23'
373
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
374
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
375
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
376
# 5    0x1002bd68: 'Tcl_VwaitObjCmd + 0x78'
377
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
378
# 7    0x1002cad7: 'TclCompEvalObj + 0x637'
379
# 8    0x1002c590: 'TclCompEvalObj + 0xf0'
380
# 9    0x1005383a: 'TclObjInterpProc + 0x26a'
381
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
382
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
383
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
384
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
385
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
386
# 15   0x1000dce0: 'Tcl_EvalEx + 0x180'
387
# 16   0x1000e066: 'Tcl_Eval + 0x16'
388
# 17   0x004675ea: 'Mtirpc_Init + 0xb36a'
389
# 18   0x0046a819: 'Mtirpc_Init + 0xe599'
390
# 19   0x0046a8e3: 'Mtirpc_Init + 0xe663'
391
# 20   0x0046bb1a: 'Mtirpc_Init + 0xf89a'
392
# 21   0x005eec35: ''
393
# 22   0x7c81776f: ''
394
StackWalk failed 487
395
# End of Stack Trace
396
 
397
# Current time Fri Sep 27 07:37:48 2013
398
# ModelSim Stack Trace
399
# Program = vish
400
# Id = "6.3g_p1"
401
# Version = "2008.08"
402
# Date = "Aug 13 2008"
403
# Platform = win32pe
404
 
405
Exception c0000005 has occurred at address 10229069. Traceback:
406
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
407
# 1    0x10229173: 'XCopyArea + 0x23'
408
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
409
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
410
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
411
# 5    0x1002bd68: 'Tcl_VwaitObjCmd + 0x78'
412
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
413
# 7    0x1002cad7: 'TclCompEvalObj + 0x637'
414
# 8    0x1002c590: 'TclCompEvalObj + 0xf0'
415
# 9    0x1005383a: 'TclObjInterpProc + 0x26a'
416
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
417
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
418
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
419
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
420
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
421
# 15   0x1000dce0: 'Tcl_EvalEx + 0x180'
422
# 16   0x1000e066: 'Tcl_Eval + 0x16'
423
# 17   0x004675ea: 'Mtirpc_Init + 0xb36a'
424
# 18   0x0046a819: 'Mtirpc_Init + 0xe599'
425
# 19   0x0046a8e3: 'Mtirpc_Init + 0xe663'
426
# 20   0x0046bb1a: 'Mtirpc_Init + 0xf89a'
427
# 21   0x005eec35: ''
428
# 22   0x7c81776f: ''
429
StackWalk failed 487
430
# End of Stack Trace
431
 
432
# Current time Fri Sep 27 07:38:10 2013
433
# ModelSim Stack Trace
434
# Program = vish
435
# Id = "6.3g_p1"
436
# Version = "2008.08"
437
# Date = "Aug 13 2008"
438
# Platform = win32pe
439
 
440
Exception c0000005 has occurred at address 10229069. Traceback:
441
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
442
# 1    0x10229173: 'XCopyArea + 0x23'
443
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
444
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
445
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
446
# 5    0x10259170: 'Tk_UpdateObjCmd + 0x60'
447
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
448
# 7    0x1000dce0: 'Tcl_EvalEx + 0x180'
449
# 8    0x1000e328: 'Tcl_EvalObjEx + 0x228'
450
# 9    0x10011ebc: 'Tcl_EvalObjCmd + 0x6c'
451
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
452
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
453
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
454
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
455
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
456
# 15   0x1002cad7: 'TclCompEvalObj + 0x637'
457
# 16   0x1002c590: 'TclCompEvalObj + 0xf0'
458
# 17   0x1000e159: 'Tcl_EvalObjEx + 0x59'
459
# 18   0x02709390: 'Itcl_EvalMemberCode + 0x210'
460
# 19   0x02479e90: ''
461
StackWalk failed 126
462
# End of Stack Trace
463
 
464
# Current time Mon Oct 14 08:20:51 2013
465
# ModelSim Stack Trace
466
# Program = vish
467
# Id = "6.3g_p1"
468
# Version = "2008.08"
469
# Date = "Aug 13 2008"
470
# Platform = win32pe
471
 
472
Exception c0000005 has occurred at address 10229069. Traceback:
473
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
474
# 1    0x10229173: 'XCopyArea + 0x23'
475
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
476
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
477
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
478
# 5    0x10262446: 'Tk_MainLoop + 0x16'
479
# 6    0x0046aa34: 'Mtirpc_Init + 0xe7b4'
480
# 7    0x0046baf7: 'Mtirpc_Init + 0xf877'
481
# 8    0x005eec35: ''
482
# 9    0x7c81776f: ''
483
StackWalk failed 487
484
# End of Stack Trace
485
 
486
# Current time Tue Oct 15 13:56:42 2013
487
# ModelSim Stack Trace
488
# Program = vish
489
# Id = "6.3g_p1"
490
# Version = "2008.08"
491
# Date = "Aug 13 2008"
492
# Platform = win32pe
493
 
494
Exception c0000005 has occurred at address 10229069. Traceback:
495
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
496
# 1    0x10229173: 'XCopyArea + 0x23'
497
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
498
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
499
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
500
# 5    0x10259184: 'Tk_UpdateObjCmd + 0x74'
501
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
502
# 7    0x1000dce0: 'Tcl_EvalEx + 0x180'
503
# 8    0x1000e328: 'Tcl_EvalObjEx + 0x228'
504
# 9    0x10011ebc: 'Tcl_EvalObjCmd + 0x6c'
505
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
506
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
507
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
508
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
509
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
510
# 15   0x1002cad7: 'TclCompEvalObj + 0x637'
511
# 16   0x1002c590: 'TclCompEvalObj + 0xf0'
512
# 17   0x1005383a: 'TclObjInterpProc + 0x26a'
513
# 18   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
514
# 19   0x1002cad7: 'TclCompEvalObj + 0x637'
515
# 20   0x1002c590: 'TclCompEvalObj + 0xf0'
516
# 21   0x1000e159: 'Tcl_EvalObjEx + 0x59'
517
# 22   0x02b09390: 'Itcl_EvalMemberCode + 0x210'
518
# 23   0x04f50a30: ''
519
StackWalk failed 126
520
# End of Stack Trace
521
 
522
# Current time Thu Nov 21 12:25:34 2013
523
# ModelSim Stack Trace
524
# Program = vish
525
# Id = "6.3g_p1"
526
# Version = "2008.08"
527
# Date = "Aug 13 2008"
528
# Platform = win32pe
529
 
530
Exception c0000005 has occurred at address 10229069. Traceback:
531
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
532
# 1    0x10229173: 'XCopyArea + 0x23'
533
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
534
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
535
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
536
# 5    0x10262446: 'Tk_MainLoop + 0x16'
537
# 6    0x0046aa34: 'Mtirpc_Init + 0xe7b4'
538
# 7    0x0046baf7: 'Mtirpc_Init + 0xf877'
539
# 8    0x005eec35: ''
540
# 9    0x7c81776f: ''
541
StackWalk failed 487
542
# End of Stack Trace
543
 
544
# Current time Thu Nov 21 12:26:45 2013
545
# ModelSim Stack Trace
546
# Program = vish
547
# Id = "6.3g_p1"
548
# Version = "2008.08"
549
# Date = "Aug 13 2008"
550
# Platform = win32pe
551
 
552
Exception c0000005 has occurred at address 10229069. Traceback:
553
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
554
# 1    0x10229173: 'XCopyArea + 0x23'
555
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
556
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
557
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
558
# 5    0x10259170: 'Tk_UpdateObjCmd + 0x60'
559
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
560
# 7    0x1000dce0: 'Tcl_EvalEx + 0x180'
561
# 8    0x1000e328: 'Tcl_EvalObjEx + 0x228'
562
# 9    0x10011ebc: 'Tcl_EvalObjCmd + 0x6c'
563
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
564
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
565
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
566
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
567
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
568
# 15   0x1002cad7: 'TclCompEvalObj + 0x637'
569
# 16   0x1002c590: 'TclCompEvalObj + 0xf0'
570
# 17   0x1000e159: 'Tcl_EvalObjEx + 0x59'
571
# 18   0x02709390: 'Itcl_EvalMemberCode + 0x210'
572
# 19   0x0246d470: ''
573
StackWalk failed 126
574
# End of Stack Trace
575
 
576
# Current time Thu Nov 21 12:27:35 2013
577
# ModelSim Stack Trace
578
# Program = vish
579
# Id = "6.3g_p1"
580
# Version = "2008.08"
581
# Date = "Aug 13 2008"
582
# Platform = win32pe
583
 
584
Exception c0000005 has occurred at address 10229069. Traceback:
585
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
586
# 1    0x10229173: 'XCopyArea + 0x23'
587
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
588
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
589
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
590
# 5    0x10259170: 'Tk_UpdateObjCmd + 0x60'
591
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
592
# 7    0x1000dce0: 'Tcl_EvalEx + 0x180'
593
# 8    0x1000e328: 'Tcl_EvalObjEx + 0x228'
594
# 9    0x10011ebc: 'Tcl_EvalObjCmd + 0x6c'
595
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
596
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
597
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
598
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
599
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
600
# 15   0x1002cad7: 'TclCompEvalObj + 0x637'
601
# 16   0x1002c590: 'TclCompEvalObj + 0xf0'
602
# 17   0x1000e159: 'Tcl_EvalObjEx + 0x59'
603
# 18   0x02709390: 'Itcl_EvalMemberCode + 0x210'
604
# 19   0x02ddd470: ''
605
StackWalk failed 126
606
# End of Stack Trace
607
 
608
# Current time Mon Nov 25 12:31:11 2013
609
# ModelSim Stack Trace
610
# Program = vish
611
# Id = "6.3g_p1"
612
# Version = "2008.08"
613
# Date = "Aug 13 2008"
614
# Platform = win32pe
615
 
616
Exception c0000005 has occurred at address 10229069. Traceback:
617
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
618
# 1    0x10229173: 'XCopyArea + 0x23'
619
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
620
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
621
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
622
# 5    0x10262446: 'Tk_MainLoop + 0x16'
623
# 6    0x0046aa34: 'Mtirpc_Init + 0xe7b4'
624
# 7    0x0046baf7: 'Mtirpc_Init + 0xf877'
625
# 8    0x005eec35: ''
626
# 9    0x7c81776f: ''
627
StackWalk failed 487
628
# End of Stack Trace
629
 
630
# Current time Mon Nov 25 12:31:17 2013
631
# ModelSim Stack Trace
632
# Program = vish
633
# Id = "6.3g_p1"
634
# Version = "2008.08"
635
# Date = "Aug 13 2008"
636
# Platform = win32pe
637
 
638
Exception c0000005 has occurred at address 10229069. Traceback:
639
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
640
# 1    0x10229173: 'XCopyArea + 0x23'
641
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
642
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
643
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
644
# 5    0x1002bd68: 'Tcl_VwaitObjCmd + 0x78'
645
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
646
# 7    0x1002cad7: 'TclCompEvalObj + 0x637'
647
# 8    0x1002c590: 'TclCompEvalObj + 0xf0'
648
# 9    0x1005383a: 'TclObjInterpProc + 0x26a'
649
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
650
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
651
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
652
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
653
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
654
# 15   0x1000dce0: 'Tcl_EvalEx + 0x180'
655
# 16   0x1000e066: 'Tcl_Eval + 0x16'
656
# 17   0x004675ea: 'Mtirpc_Init + 0xb36a'
657
# 18   0x0046a819: 'Mtirpc_Init + 0xe599'
658
# 19   0x0046a8e3: 'Mtirpc_Init + 0xe663'
659
# 20   0x0046bb1a: 'Mtirpc_Init + 0xf89a'
660
# 21   0x005eec35: ''
661
# 22   0x7c81776f: ''
662
StackWalk failed 487
663
# End of Stack Trace
664
 
665
# Current time Mon Nov 25 12:31:19 2013
666
# ModelSim Stack Trace
667
# Program = vish
668
# Id = "6.3g_p1"
669
# Version = "2008.08"
670
# Date = "Aug 13 2008"
671
# Platform = win32pe
672
 
673
Exception c0000005 has occurred at address 10229069. Traceback:
674
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
675
# 1    0x10229173: 'XCopyArea + 0x23'
676
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
677
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
678
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
679
# 5    0x1002bd68: 'Tcl_VwaitObjCmd + 0x78'
680
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
681
# 7    0x1002cad7: 'TclCompEvalObj + 0x637'
682
# 8    0x1002c590: 'TclCompEvalObj + 0xf0'
683
# 9    0x1005383a: 'TclObjInterpProc + 0x26a'
684
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
685
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
686
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
687
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
688
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
689
# 15   0x1000dce0: 'Tcl_EvalEx + 0x180'
690
# 16   0x1000e066: 'Tcl_Eval + 0x16'
691
# 17   0x004675ea: 'Mtirpc_Init + 0xb36a'
692
# 18   0x0046a819: 'Mtirpc_Init + 0xe599'
693
# 19   0x0046a8e3: 'Mtirpc_Init + 0xe663'
694
# 20   0x0046bb1a: 'Mtirpc_Init + 0xf89a'
695
# 21   0x005eec35: ''
696
# 22   0x7c81776f: ''
697
StackWalk failed 487
698
# End of Stack Trace
699
 
700
# Current time Mon Nov 25 12:31:20 2013
701
# ModelSim Stack Trace
702
# Program = vish
703
# Id = "6.3g_p1"
704
# Version = "2008.08"
705
# Date = "Aug 13 2008"
706
# Platform = win32pe
707
 
708
Exception c0000005 has occurred at address 10229069. Traceback:
709
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
710
# 1    0x10229173: 'XCopyArea + 0x23'
711
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
712
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
713
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
714
# 5    0x1002bd68: 'Tcl_VwaitObjCmd + 0x78'
715
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
716
# 7    0x1002cad7: 'TclCompEvalObj + 0x637'
717
# 8    0x1002c590: 'TclCompEvalObj + 0xf0'
718
# 9    0x1005383a: 'TclObjInterpProc + 0x26a'
719
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
720
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
721
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
722
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
723
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
724
# 15   0x1000dce0: 'Tcl_EvalEx + 0x180'
725
# 16   0x1000e066: 'Tcl_Eval + 0x16'
726
# 17   0x004675ea: 'Mtirpc_Init + 0xb36a'
727
# 18   0x0046a819: 'Mtirpc_Init + 0xe599'
728
# 19   0x0046a8e3: 'Mtirpc_Init + 0xe663'
729
# 20   0x0046bb1a: 'Mtirpc_Init + 0xf89a'
730
# 21   0x005eec35: ''
731
# 22   0x7c81776f: ''
732
StackWalk failed 487
733
# End of Stack Trace
734
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.