1 |
42 |
lmaarsen |
#####################################################################################
|
2 |
|
|
# Copyright (C) 1991-2007 Altera Corporation
|
3 |
|
|
# Any megafunction design, and related netlist (encrypted or decrypted),
|
4 |
|
|
# support information, device programming or simulation file, and any other
|
5 |
|
|
# associated documentation or information provided by Altera or a partner
|
6 |
|
|
# under Altera's Megafunction Partnership Program may be used only
|
7 |
|
|
# to program PLD devices (but not masked PLD devices) from Altera. Any
|
8 |
|
|
# other use of such megafunction design, netlist, support information,
|
9 |
|
|
# device programming or simulation file, or any other related documentation
|
10 |
|
|
# or information is prohibited for any other purpose, including, but not
|
11 |
|
|
# limited to modification, reverse engineering, de-compiling, or use with
|
12 |
|
|
# any other silicon devices, unless such use is explicitly licensed under
|
13 |
|
|
# a separate agreement with Altera or a megafunction partner. Title to the
|
14 |
|
|
# intellectual property, including patents, copyrights, trademarks, trade
|
15 |
|
|
# secrets, or maskworks, embodied in any such megafunction design, netlist,
|
16 |
|
|
# support information, device programming or simulation file, or any other
|
17 |
|
|
# related documentation or information provided by Altera or a megafunction
|
18 |
|
|
# partner, remains with Altera, the megafunction partner, or their respective
|
19 |
|
|
# licensors. No other licenses, including any licenses needed under any third
|
20 |
|
|
# party's intellectual property, are provided herein.
|
21 |
|
|
#####################################################################################
|
22 |
|
|
|
23 |
|
|
#####################################################################################
|
24 |
|
|
# Altera Triple-Speed Ethernet Megacore SDC file for use with the Quartus II
|
25 |
|
|
# TimeQuest Timing Analyzer
|
26 |
|
|
#
|
27 |
|
|
# To add this SDC file to your Quartus II project execute the following TCL
|
28 |
|
|
# command in the Quartus II TCL console:
|
29 |
|
|
# set_global_assignment -name SDC_FILE esoc_port_mac_constraints.sdc
|
30 |
|
|
#
|
31 |
|
|
# Generated on Mon Nov 18 12:35:31 CET 2013
|
32 |
|
|
#
|
33 |
|
|
#####################################################################################
|
34 |
|
|
|
35 |
|
|
|
36 |
|
|
#Create clocks for each PLL output clocks
|
37 |
|
|
|
38 |
|
|
#Constrain MAC control interface clock
|
39 |
|
|
create_clock -period "66 MHz" -name clk_to_the_esoc_port_mac [ get_keepers clk]
|
40 |
|
|
|
41 |
|
|
#Constrain MAC FIFO data interface clocks
|
42 |
|
|
create_clock -period "100 MHz" -name ff_tx_clk_to_the_esoc_port_mac [ get_keepers ff_tx_clk]
|
43 |
|
|
create_clock -period "100 MHz" -name ff_rx_clk_to_the_esoc_port_mac [ get_keepers ff_rx_clk]
|
44 |
|
|
|
45 |
|
|
|
46 |
|
|
#Constrain MAC network-side interface clocks
|
47 |
|
|
create_clock -period "125 MHz" -name tx_clk_to_the_esoc_port_mac [ get_keepers tx_clk]
|
48 |
|
|
create_clock -period "125 MHz" -name rx_clk_to_the_esoc_port_mac [ get_keepers rx_clk]
|
49 |
|
|
|
50 |
|
|
|
51 |
|
|
derive_pll_clocks
|
52 |
|
|
|
53 |
|
|
|
54 |
|
|
#Cut the timing path betweeen unrelated clock domains
|
55 |
|
|
set_clock_groups -exclusive -group {clk_to_the_esoc_port_mac } -group {ff_tx_clk_to_the_esoc_port_mac ff_rx_clk_to_the_esoc_port_mac rx_clk_to_the_esoc_port_mac tx_clk_to_the_esoc_port_mac}
|
56 |
|
|
set_clock_groups -exclusive -group {ff_rx_clk_to_the_esoc_port_mac} -group {clk_to_the_esoc_port_mac ff_tx_clk_to_the_esoc_port_mac rx_clk_to_the_esoc_port_mac tx_clk_to_the_esoc_port_mac}
|
57 |
|
|
set_clock_groups -exclusive -group {ff_tx_clk_to_the_esoc_port_mac} -group {clk_to_the_esoc_port_mac ff_rx_clk_to_the_esoc_port_mac rx_clk_to_the_esoc_port_mac tx_clk_to_the_esoc_port_mac}
|
58 |
|
|
set_clock_groups -exclusive -group {rx_clk_to_the_esoc_port_mac} -group {clk_to_the_esoc_port_mac ff_tx_clk_to_the_esoc_port_mac ff_rx_clk_to_the_esoc_port_mac tx_clk_to_the_esoc_port_mac}
|
59 |
|
|
set_clock_groups -exclusive -group {tx_clk_to_the_esoc_port_mac} -group {clk_to_the_esoc_port_mac ff_tx_clk_to_the_esoc_port_mac ff_rx_clk_to_the_esoc_port_mac rx_clk_to_the_esoc_port_mac}
|
60 |
|
|
|
61 |
|
|
|
62 |
|
|
#Constrain timing for half duplex logic
|
63 |
|
|
set_multicycle_path -setup 5 -from [ get_keepers *|altera_tse_top_w_fifo:U_MAC|altera_tse_tx_min_ff:U_TXFF|altera_tse_altsyncram_dpm_fifo:U_RTSM|altsyncram*] -to [ get_keepers *]
|
64 |
|
|
set_multicycle_path -setup 5 -from [ get_keepers *|altera_tse_top_w_fifo:U_MAC|altera_tse_tx_min_ff:U_TXFF|altera_tse_retransmit_cntl:U_RETR|*] -to [ get_keepers *]
|
65 |
|
|
set_multicycle_path -setup 5 -from [ get_keepers *] -to [ get_keepers *|altera_tse_top_w_fifo:U_MAC|altera_tse_tx_min_ff:U_TXFF|altera_tse_retransmit_cntl:U_RETR|*]
|
66 |
|
|
set_multicycle_path -setup 5 -from [ get_keepers *|altera_tse_top_w_fifo:U_MAC|altera_tse_tx_min_ff:U_TXFF|half_duplex_ena_reg2] -to [ get_keepers *]
|
67 |
|
|
set_multicycle_path -hold 5 -from [ get_keepers *|altera_tse_top_w_fifo:U_MAC|altera_tse_tx_min_ff:U_TXFF|altera_tse_altsyncram_dpm_fifo:U_RTSM|altsyncram*] -to [ get_keepers *]
|
68 |
|
|
set_multicycle_path -hold 5 -from [ get_keepers *|altera_tse_top_w_fifo:U_MAC|altera_tse_tx_min_ff:U_TXFF|altera_tse_retransmit_cntl:U_RETR|*] -to [ get_keepers *]
|
69 |
|
|
set_multicycle_path -hold 5 -from [ get_keepers *] -to [ get_keepers *|altera_tse_top_w_fifo:U_MAC|altera_tse_tx_min_ff:U_TXFF|altera_tse_retransmit_cntl:U_RETR|*]
|
70 |
|
|
set_multicycle_path -hold 5 -from [ get_keepers *|altera_tse_top_w_fifo:U_MAC|altera_tse_tx_min_ff:U_TXFF|half_duplex_ena_reg2] -to [ get_keepers *]
|
71 |
|
|
set_max_delay 7 -from [get_registers *|altera_tse_top_w_fifo:U_MAC|altera_tse_tx_min_ff:U_TXFF|dout_reg_sft*] -to [get_keepers *|altera_tse_top_w_fifo:U_MAC|altera_tse_top_1geth:U_GETH|altera_tse_mac_tx:U_TX|*]
|
72 |
|
|
set_max_delay 7 -from [get_registers *|altera_tse_top_w_fifo:U_MAC|altera_tse_tx_min_ff:U_TXFF|eop_sft*] -to [get_keepers *|altera_tse_top_w_fifo:U_MAC|altera_tse_top_1geth:U_GETH|altera_tse_mac_tx:U_TX|*]
|
73 |
|
|
set_max_delay 7 -from [get_registers *|altera_tse_top_w_fifo:U_MAC|altera_tse_tx_min_ff:U_TXFF|sop_reg*] -to [get_keepers *|altera_tse_top_w_fifo:U_MAC|altera_tse_top_1geth:U_GETH|altera_tse_mac_tx:U_TX|*]
|
74 |
|
|
|