OpenCores
URL https://opencores.org/ocsvn/esoc/esoc/trunk

Subversion Repositories esoc

[/] [esoc/] [trunk/] [Sources/] [altera/] [esoc_port_mac/] [esoc_port_mac_constraints.tcl] - Blame information for rev 42

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 42 lmaarsen
#####################################################################################
2
# Copyright (C) 1991-2007 Altera Corporation
3
# Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
4
# support information,  device programming or simulation file,  and any other
5
# associated  documentation or information  provided by  Altera  or a partner
6
# under  Altera's   Megafunction   Partnership   Program  may  be  used  only
7
# to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
8
# other  use  of such  megafunction  design,  netlist,  support  information,
9
# device programming or simulation file,  or any other  related documentation
10
# or information  is prohibited  for  any  other purpose,  including, but not
11
# limited to  modification,  reverse engineering,  de-compiling, or use  with
12
# any other  silicon devices,  unless such use is  explicitly  licensed under
13
# a separate agreement with  Altera  or a megafunction partner.  Title to the
14
# intellectual property,  including patents,  copyrights,  trademarks,  trade
15
# secrets,  or maskworks,  embodied in any such megafunction design, netlist,
16
# support  information,  device programming or simulation file,  or any other
17
# related documentation or information provided by  Altera  or a megafunction
18
# partner, remains with Altera, the megafunction partner, or their respective
19
# licensors. No other licenses, including any licenses needed under any third
20
# party's intellectual property, are provided herein.
21
#####################################################################################
22
 
23
#####################################################################################
24
# Altera Triple-Speed Ethernet Megacore TCL timing constraint file
25
# for use with the Quartus II Classic Timing Analyzer
26
#
27
# Generated on Mon Nov 18 12:35:31 CET 2013
28
#
29
#####################################################################################
30
 
31
 
32
#Optimize hold time on all paths
33
set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS"
34
 
35
#Optimize I/O timing for MII network-side interface
36
set_instance_assignment -name FAST_INPUT_REGISTER ON -to m_rx_col
37
set_instance_assignment -name FAST_INPUT_REGISTER ON -to m_rx_crs
38
set_instance_assignment -name FAST_INPUT_REGISTER ON -to m_rx_d
39
set_instance_assignment -name FAST_INPUT_REGISTER ON -to m_rx_en
40
set_instance_assignment -name FAST_INPUT_REGISTER ON -to m_rx_err
41
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to m_tx_d
42
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to m_tx_en
43
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to m_tx_err
44
 
45
 
46
#Optimize I/O timing for RGMII network-side interface
47
set_instance_assignment -name FAST_INPUT_REGISTER ON -to rx_control
48
set_instance_assignment -name FAST_INPUT_REGISTER ON -to rgmii_in
49
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to tx_control
50
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to rgmii_out
51
 
52
 
53
 
54
 
55
#Constrain MAC control interface clock
56
set_instance_assignment -name CLOCK_SETTINGS clk_to_the_esoc_port_mac -to clk
57
set_global_assignment -name FMAX_REQUIREMENT "66 MHz" -section_id clk_to_the_esoc_port_mac
58
set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF -section_id clk_to_the_esoc_port_mac
59
 
60
#Constrain MAC FIFO data interface clocks
61
set_instance_assignment -name CLOCK_SETTINGS ff_rx_clk_to_the_esoc_port_mac -to ff_rx_clk
62
set_global_assignment -name FMAX_REQUIREMENT "100 MHz" -section_id ff_rx_clk_to_the_esoc_port_mac
63
set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF -section_id ff_rx_clk_to_the_esoc_port_mac
64
 
65
set_instance_assignment -name CLOCK_SETTINGS ff_tx_clk_to_the_esoc_port_mac -to ff_tx_clk
66
set_global_assignment -name FMAX_REQUIREMENT "100 MHz" -section_id ff_tx_clk_to_the_esoc_port_mac
67
set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF -section_id ff_tx_clk_to_the_esoc_port_mac
68
 
69
 
70
#Constrain MAC network-side interface clocks
71
set_instance_assignment -name CLOCK_SETTINGS rx_clk_to_the_esoc_port_mac -to rx_clk
72
set_global_assignment -name FMAX_REQUIREMENT "125.0 MHz" -section_id rx_clk_to_the_esoc_port_mac
73
set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF -section_id rx_clk_to_the_esoc_port_mac
74
 
75
set_instance_assignment -name CLOCK_SETTINGS tx_clk_to_the_esoc_port_mac -to tx_clk
76
set_global_assignment -name FMAX_REQUIREMENT "125.0 MHz" -section_id tx_clk_to_the_esoc_port_mac
77
set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF -section_id tx_clk_to_the_esoc_port_mac
78
 
79
 
80
#Constrain timing for half duplex logic
81
set_instance_assignment -name MULTICYCLE 5 -from "*|altera_tse_top_w_fifo:U_MAC|altera_tse_tx_min_ff:U_TXFF|altera_tse_altsyncram_dpm_fifo:U_RTSM|altsyncram*" -to *
82
set_instance_assignment -name MULTICYCLE 5 -from "*|altera_tse_top_w_fifo:U_MAC|altera_tse_tx_min_ff:U_TXFF|altera_tse_retransmit_cntl:U_RETR|*" -to *
83
set_instance_assignment -name MULTICYCLE 5 -from * -to "*|altera_tse_top_w_fifo:U_MAC|altera_tse_tx_min_ff:U_TXFF|altera_tse_retransmit_cntl:U_RETR|*"
84
set_instance_assignment -name MULTICYCLE 5 -from "*|altera_tse_top_w_fifo:U_MAC|altera_tse_tx_min_ff:U_TXFF|half_duplex_ena_reg2" -to *
85
set_instance_assignment -name TPD_REQUIREMENT "7.0 ns" -from "*|altera_tse_top_w_fifo:U_MAC|altera_tse_tx_min_ff:U_TXFF|dout_reg_sft" -to "*|altera_tse_top_w_fifo:U_MAC|altera_tse_top_1geth:U_GETH|altera_tse_mac_tx:U_TX|*"
86
set_instance_assignment -name TPD_REQUIREMENT "7.0 ns" -from "*|altera_tse_top_w_fifo:U_MAC|altera_tse_tx_min_ff:U_TXFF|eop_sft" -to "*|altera_tse_top_w_fifo:U_MAC|altera_tse_top_1geth:U_GETH|altera_tse_mac_tx:U_TX|*"
87
set_instance_assignment -name TPD_REQUIREMENT "7.0 ns" -from "*|altera_tse_top_w_fifo:U_MAC|altera_tse_tx_min_ff:U_TXFF|sop_reg" -to "*|altera_tse_top_w_fifo:U_MAC|altera_tse_top_1geth:U_GETH|altera_tse_mac_tx:U_TX|*"
88
 
89
 
90
export_assignments
91
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.