OpenCores
URL https://opencores.org/ocsvn/esoc/esoc/trunk

Subversion Repositories esoc

[/] [esoc/] [trunk/] [Sources/] [altera/] [esoc_port_mac/] [testbench/] [transcript] - Blame information for rev 42

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 42 lmaarsen
do b
2
# Cannot open macro file: b
3
pwd
4
# C:/data/temp/1. eSoc/2. Sources/altera/esoc_port_mac/testbench
5
cd {C:/data/temp/1. eSoc/2. Sources/simulation}
6
# reading C:\cae\altera\8.1\modelsim_ae\win32aloem/../modelsim.ini
7
# reading modelsim.ini
8
do build.do
9
# c:/data/temp/1. eSoc
10
# 2. Sources/altera
11
# 2. Sources/esoc.ews/design.hdl
12
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
13
# -- Loading package standard
14
# -- Loading package std_logic_1164
15
# -- Loading package textio
16
# -- Loading package numeric_std
17
# -- Compiling package package_txt_utilities
18
# -- Compiling package body package_txt_utilities
19
# -- Loading package package_txt_utilities
20
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
21
# -- Loading package standard
22
# -- Loading package std_logic_1164
23
# -- Compiling package pck_crc32_d8
24
# -- Compiling package body pck_crc32_d8
25
# -- Loading package pck_crc32_d8
26
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
27
# -- Loading package standard
28
# -- Loading package std_logic_1164
29
# -- Loading package textio
30
# -- Loading package numeric_std
31
# -- Compiling package esoc_configuration
32
# -- Compiling package body esoc_configuration
33
# -- Loading package esoc_configuration
34
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
35
# -- Loading package standard
36
# -- Loading package std_logic_1164
37
# -- Loading package textio
38
# -- Loading package numeric_std
39
# -- Loading package esoc_configuration
40
# -- Compiling entity esoc_control
41
# -- Compiling architecture esoc_control of esoc_control
42
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
43
# -- Loading package standard
44
# -- Loading package std_logic_1164
45
# -- Loading package textio
46
# -- Loading package numeric_std
47
# -- Loading package esoc_configuration
48
# -- Compiling entity esoc_db_arbiter
49
# -- Compiling architecture esoc_db_arbiter of esoc_db_arbiter
50
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
51
# -- Loading package standard
52
# -- Loading package std_logic_1164
53
# -- Loading package textio
54
# -- Loading package numeric_std
55
# -- Loading package esoc_configuration
56
# -- Compiling entity esoc_port_mal_clock
57
# -- Compiling architecture esoc_port_mal_clock of esoc_port_mal_clock
58
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
59
# -- Loading package standard
60
# -- Loading package std_logic_1164
61
# -- Loading package textio
62
# -- Loading package numeric_std
63
# -- Loading package esoc_configuration
64
# -- Compiling entity esoc_port_mal_control
65
# -- Compiling architecture esoc_port_mal_control of esoc_port_mal_control
66
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
67
# -- Loading package standard
68
# -- Loading package std_logic_1164
69
# -- Loading package textio
70
# -- Loading package numeric_std
71
# -- Loading package esoc_configuration
72
# -- Compiling entity esoc_port_mal_inbound
73
# -- Compiling architecture esoc_port_mal_inbound of esoc_port_mal_inbound
74
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
75
# -- Loading package standard
76
# -- Loading package std_logic_1164
77
# -- Loading package textio
78
# -- Loading package numeric_std
79
# -- Loading package esoc_configuration
80
# -- Compiling entity esoc_port_mal_outbound
81
# -- Compiling architecture esoc_port_mal_outbound of esoc_port_mal_outbound
82
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
83
# -- Loading package standard
84
# -- Loading package std_logic_1164
85
# -- Loading package textio
86
# -- Loading package numeric_std
87
# -- Loading package esoc_configuration
88
# -- Compiling entity esoc_port_mal
89
# -- Compiling architecture port_mal of esoc_port_mal
90
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
91
# -- Loading package standard
92
# -- Loading package std_logic_1164
93
# -- Loading package textio
94
# -- Loading package numeric_std
95
# -- Loading package esoc_configuration
96
# -- Compiling entity esoc_port_interface
97
# -- Compiling architecture structure of esoc_port_interface
98
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
99
# -- Loading package standard
100
# -- Loading package std_logic_1164
101
# -- Loading package textio
102
# -- Loading package numeric_std
103
# -- Loading package esoc_configuration
104
# -- Compiling entity esoc_port_processor
105
# -- Compiling architecture structure of esoc_port_processor
106
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
107
# -- Loading package standard
108
# -- Loading package std_logic_1164
109
# -- Loading package textio
110
# -- Loading package numeric_std
111
# -- Loading package esoc_configuration
112
# -- Compiling entity esoc_port
113
# -- Compiling architecture esoc_port of esoc_port
114
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
115
# -- Loading package standard
116
# -- Loading package std_logic_1164
117
# -- Loading package textio
118
# -- Loading package numeric_std
119
# -- Loading package esoc_configuration
120
# -- Compiling entity esoc_reset
121
# -- Compiling architecture esoc_reset of esoc_reset
122
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
123
# -- Loading package standard
124
# -- Loading package std_logic_1164
125
# -- Loading package textio
126
# -- Loading package numeric_std
127
# -- Loading package esoc_configuration
128
# -- Compiling entity esoc_search_engine
129
# -- Compiling architecture esoc_search of esoc_search_engine
130
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
131
# -- Loading package standard
132
# -- Loading package std_logic_1164
133
# -- Loading package textio
134
# -- Loading package numeric_std
135
# -- Loading package esoc_configuration
136
# -- Compiling entity esoc
137
# -- Compiling architecture structure of esoc
138
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
139
# -- Loading package standard
140
# -- Loading package std_logic_1164
141
# -- Compiling entity esoc_pll1_c3
142
# -- Compiling architecture syn of esoc_pll1_c3
143
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
144
# -- Loading package standard
145
# -- Loading package std_logic_1164
146
# -- Compiling entity esoc_pll2_c3
147
# -- Compiling architecture syn of esoc_pll2_c3
148
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
149
# -- Loading package standard
150
# -- Loading package std_logic_1164
151
# -- Loading package altera_mf_components
152
# -- Loading package sgate_pack
153
# -- Compiling entity esoc_port_mac
154
# -- Compiling architecture rtl of esoc_port_mac
155
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
156
# -- Loading package standard
157
# -- Loading package std_logic_1164
158
# -- Loading package textio
159
# -- Loading package numeric_std
160
# -- Loading package pck_crc32_d8
161
# -- Loading package esoc_configuration
162
# -- Loading package package_txt_utilities
163
# -- Compiling entity esoc_tb
164
# -- Compiling architecture esoc_tb of esoc_tb
165
# vsim -t ps work.esoc_tb
166
# Loading std.standard
167
# Loading ieee.std_logic_1164(body)
168
# Loading std.textio(body)
169
# Loading ieee.numeric_std(body)
170
# Loading work.pck_crc32_d8(body)
171
# Loading work.esoc_configuration(body)
172
# Loading work.package_txt_utilities(body)
173
# Loading work.esoc_tb(esoc_tb)
174
# Loading work.esoc(structure)
175
# Loading work.esoc_port(esoc_port)
176
# Loading work.esoc_port_interface(structure)
177
# Loading work.esoc_port_mal(port_mal)
178
# Loading work.esoc_port_mal_control(esoc_port_mal_control)
179
# Loading work.esoc_port_mal_inbound(esoc_port_mal_inbound)
180
# Loading work.esoc_port_mal_outbound(esoc_port_mal_outbound)
181
# Loading work.esoc_port_mal_clock(esoc_port_mal_clock)
182
# Loading altera_mf.altera_mf_components
183
# Loading sgate.sgate_pack(body)
184
# Loading work.esoc_port_mac(rtl)
185
# Loading ieee.std_logic_arith(body)
186
# Loading ieee.std_logic_unsigned(body)
187
# Loading altera_mf.altera_device_families(body)
188
# Loading altera_mf.altera_common_conversion(body)
189
# Loading altera_mf.altera_mf_hint_evaluation(body)
190
# Loading altera_mf.alt3pram(behavior)
191
# Loading altera_mf.altsyncram(translated)
192
# Loading altera_mf.altddio_in(behave)
193
# Loading altera_mf.altddio_out(behave)
194
# Loading altera_mf.altshift_taps(behavioural)
195
# Loading ieee.std_logic_signed(body)
196
# Loading sgate.oper_add(sim_arch)
197
# Loading sgate.oper_decoder(sim_arch)
198
# Loading sgate.oper_less_than(sim_arch)
199
# Loading sgate.oper_mux(sim_arch)
200
# Loading sgate.oper_selector(sim_arch)
201
# Loading work.esoc_port_processor(structure)
202
# Loading work.esoc_control(esoc_control)
203
# Loading work.esoc_reset(esoc_reset)
204
# Loading work.esoc_db_arbiter(esoc_db_arbiter)
205
# Loading work.esoc_search_engine(esoc_search)
206
# Loading work.esoc_pll1_c3(syn)
207
# Loading altera_mf.mf_pllpack(body)
208
# Loading altera_mf.altpll(behavior)
209
# Loading altera_mf.mf_cycloneiii_pll(vital_pll)
210
# Loading altera_mf.mf_cda_mn_cntr(behave)
211
# Loading altera_mf.mf_cda_scale_cntr(behave)
212
# Loading work.esoc_pll2_c3(syn)
213
do run.do 15 4 4
214
# 3. Simulation/0. Logs
215
# 3. Simulation/1. Scripts
216
# 3. Simulation/3. Waves
217
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
218
# -- Loading package standard
219
# -- Loading package std_logic_1164
220
# -- Loading package textio
221
# -- Loading package numeric_std
222
# -- Loading package pck_crc32_d8
223
# -- Loading package esoc_configuration
224
# -- Loading package package_txt_utilities
225
# -- Compiling entity esoc_tb
226
# -- Compiling architecture esoc_tb of esoc_tb
227
# vsim -t ps -novopt work.esoc_tb
228
# Loading std.standard
229
# Loading ieee.std_logic_1164(body)
230
# Loading std.textio(body)
231
# Loading ieee.numeric_std(body)
232
# Loading work.pck_crc32_d8(body)
233
# Loading work.esoc_configuration(body)
234
# Loading work.package_txt_utilities(body)
235
# Loading work.esoc_tb(esoc_tb)
236
# Loading work.esoc(structure)
237
# Loading work.esoc_port(esoc_port)
238
# Loading work.esoc_port_interface(structure)
239
# Loading work.esoc_port_mal(port_mal)
240
# Loading work.esoc_port_mal_control(esoc_port_mal_control)
241
# Loading work.esoc_port_mal_inbound(esoc_port_mal_inbound)
242
# Loading work.esoc_port_mal_outbound(esoc_port_mal_outbound)
243
# Loading work.esoc_port_mal_clock(esoc_port_mal_clock)
244
# Loading altera_mf.altera_mf_components
245
# Loading sgate.sgate_pack(body)
246
# Loading work.esoc_port_mac(rtl)
247
# Loading ieee.std_logic_arith(body)
248
# Loading ieee.std_logic_unsigned(body)
249
# Loading altera_mf.altera_device_families(body)
250
# Loading altera_mf.altera_common_conversion(body)
251
# Loading altera_mf.altera_mf_hint_evaluation(body)
252
# Loading altera_mf.alt3pram(behavior)
253
# Loading altera_mf.altsyncram(translated)
254
# Loading altera_mf.altddio_in(behave)
255
# Loading altera_mf.altddio_out(behave)
256
# Loading altera_mf.altshift_taps(behavioural)
257
# Loading ieee.std_logic_signed(body)
258
# Loading sgate.oper_add(sim_arch)
259
# Loading sgate.oper_decoder(sim_arch)
260
# Loading sgate.oper_less_than(sim_arch)
261
# Loading sgate.oper_mux(sim_arch)
262
# Loading sgate.oper_selector(sim_arch)
263
# Loading work.esoc_port_processor(structure)
264
# Loading work.esoc_control(esoc_control)
265
# Loading work.esoc_reset(esoc_reset)
266
# Loading work.esoc_db_arbiter(esoc_db_arbiter)
267
# Loading work.esoc_search_engine(esoc_search)
268
# Loading work.esoc_pll1_c3(syn)
269
# Loading altera_mf.mf_pllpack(body)
270
# Loading altera_mf.altpll(behavior)
271
# Loading altera_mf.mf_cycloneiii_pll(vital_pll)
272
# Loading altera_mf.mf_cda_mn_cntr(behave)
273
# Loading altera_mf.mf_cda_scale_cntr(behave)
274
# Loading work.esoc_pll2_c3(syn)
275
# ** Warning: (vsim-WLF-5000) Waveform log file vsim.wlf currently in use.
276
# File in use by: Saskia & Bert  Hostname: NETBOOK  ProcessID: 2492
277
#           Attempting to use alternate file "./wlftg32vqm".
278
# ** Warning: (vsim-WLF-5001) Could not open waveform log file vsim.wlf.  Using ./wlftg32vqm instead.
279
# ** Note: Cyclone III PLL locked to incoming clock
280
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u1/altpll_component/cycloneiii_altpll/m5
281
# ** Note: Cyclone III PLL locked to incoming clock
282
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u3/altpll_component/cycloneiii_altpll/m5
283
# ** Note: ESOC Reset -> reset released
284
#    Time: 1 us  Iteration: 0  Instance: /esoc_tb
285
# ** Note: ESOC RGMII Preprocessing -> start of processing stimuli for RGMII interfaces
286
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
287
# ** Note: ESOC RGMII Preprocessing -> packet with length of 86 bytes written to port 00
288
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
289
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0030 pstart: 0x00 crc: 0x110B2B50 ipg: 0x000C
290
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
291
# ** Note: ESOC RGMII Preprocessing -> packet with length of 102 bytes written to port 00
292
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
293
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0040 pstart: 0x00 crc: 0x0CBF189D ipg: 0x000C
294
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
295
# ** Note: ESOC RGMII Preprocessing -> end of processing stimuli for RGMII interfaces
296
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
297
# ** Note: ESOC RGMII Transmit -> start of transmitting stimuli to RGMII interfaces
298
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
299
# ** Note: ESOC Control -> generate read/write cycles on control interface
300
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
301
# ** Note: ESOC Control -> write 0400805Bh to address 0002h
302
#    Time: 2120 ns  Iteration: 0  Instance: /esoc_tb
303
# ** Note: ESOC Control -> write 00022017h to address 0003h
304
#    Time: 2440 ns  Iteration: 0  Instance: /esoc_tb
305
# ** Note: ESOC Control -> write 00022017h to address 0004h
306
#    Time: 2760 ns  Iteration: 0  Instance: /esoc_tb
307
# ** Note: ESOC Control -> write 0000000Ch to address 0017h
308
#    Time: 3080 ns  Iteration: 0  Instance: /esoc_tb
309
# ** Note: ESOC Control -> write 000005EEh to address 0005h
310
#    Time: 3400 ns  Iteration: 0  Instance: /esoc_tb
311
# ** Note: ESOC Control -> write 0000000Fh to address 0006h
312
#    Time: 3720 ns  Iteration: 0  Instance: /esoc_tb
313
# ** Note: ESOC Control -> write 00000000h to address 0007h
314
#    Time: 4040 ns  Iteration: 0  Instance: /esoc_tb
315
# ** Note: ESOC Control -> write 00000010h to address 0008h
316
#    Time: 4360 ns  Iteration: 0  Instance: /esoc_tb
317
# ** Note: ESOC Control -> write 00000010h to address 0009h
318
#    Time: 4680 ns  Iteration: 0  Instance: /esoc_tb
319
# ** Note: ESOC Control -> write 00000010h to address 000ah
320
#    Time: 5 us  Iteration: 0  Instance: /esoc_tb
321
# ** Note: ESOC Control -> write 00000008h to address 000bh
322
#    Time: 5320 ns  Iteration: 0  Instance: /esoc_tb
323
# ** Note: ESOC Control -> write 00000008h to address 000ch
324
#    Time: 5640 ns  Iteration: 0  Instance: /esoc_tb
325
# ** Note: ESOC Control -> write 00000008h to address 000dh
326
#    Time: 5960 ns  Iteration: 0  Instance: /esoc_tb
327
# ** Note: ESOC Control -> write 0000000Ah to address 000eh
328
#    Time: 6280 ns  Iteration: 0  Instance: /esoc_tb
329
# ** Note: ESOC Control -> write 00000000h to address 003ah
330
#    Time: 6600 ns  Iteration: 0  Instance: /esoc_tb
331
# ** Note: ESOC Control -> write 00000000h to address 003bh
332
#    Time: 6920 ns  Iteration: 0  Instance: /esoc_tb
333
# ** Note: ESOC Control -> read from address 0002h 0400805Bh, expected 0400005Bh, status: ERROR
334
#    Time: 7260 ns  Iteration: 0  Instance: /esoc_tb
335
# ** Note: ESOC Control -> read from address 0003h 00022017h, expected 0400005Bh, status: ERROR
336
#    Time: 7600 ns  Iteration: 0  Instance: /esoc_tb
337
# ** Note: ESOC Control -> read from address 0004h 00002017h, expected 0400005Bh, status: ERROR
338
#    Time: 7940 ns  Iteration: 0  Instance: /esoc_tb
339
# ** Note: ESOC Control -> read from address 0005h 000005EEh, expected 000005EEh, status: OK
340
#    Time: 8280 ns  Iteration: 0  Instance: /esoc_tb
341
# ** Note: ESOC Control -> read from address 0006h 0000000Fh, expected 0000000Fh, status: OK
342
#    Time: 8620 ns  Iteration: 0  Instance: /esoc_tb
343
# ** Note: ESOC Control -> read from address 0007h 00000000h, expected 00000400h, status: ERROR
344
#    Time: 8960 ns  Iteration: 0  Instance: /esoc_tb
345
# ** Note: ESOC Control -> read from address 0008h 00000010h, expected 00000000h, status: ERROR
346
#    Time: 9300 ns  Iteration: 0  Instance: /esoc_tb
347
# ** Note: ESOC Control -> read from address 0009h 00000010h, expected 000007F8h, status: ERROR
348
#    Time: 9640 ns  Iteration: 0  Instance: /esoc_tb
349
# ** Note: ESOC Control -> read from address 000ah 00000010h, expected 00000000h, status: ERROR
350
#    Time: 9980 ns  Iteration: 0  Instance: /esoc_tb
351
# ** Note: ESOC Control -> read from address 000bh 00000008h, expected 00000008h, status: OK
352
#    Time: 10320 ns  Iteration: 0  Instance: /esoc_tb
353
# ** Note: ESOC Control -> read from address 000ch 00000008h, expected 00000008h, status: OK
354
#    Time: 10660 ns  Iteration: 0  Instance: /esoc_tb
355
# ** Note: ESOC Control -> read from address 000dh 00000008h, expected 00000008h, status: OK
356
#    Time: 11 us  Iteration: 0  Instance: /esoc_tb
357
# ** Note: ESOC Control -> read from address 000eh 0000000Ah, expected 00000003h, status: ERROR
358
#    Time: 11340 ns  Iteration: 0  Instance: /esoc_tb
359
# ** Note: ESOC Control -> read from address 0017h 0000000Ch, expected 0000000Ch, status: OK
360
#    Time: 11680 ns  Iteration: 0  Instance: /esoc_tb
361
# ** Note: ESOC Control -> read from address 003ah 00000000h, expected 00000000h, status: OK
362
#    Time: 12020 ns  Iteration: 0  Instance: /esoc_tb
363
# ** Note: ESOC Control -> read from address 003bh 00000000h, expected 00000000h, status: OK
364
#    Time: 12360 ns  Iteration: 0  Instance: /esoc_tb
365
# ** Note: ESOC Control -> write 00000001h to address ff00h
366
#    Time: 12650 ns  Iteration: 0  Instance: /esoc_tb
367
# ** Note: ESOC Control -> read from address ff00h 00000001h, expected 00000001h, status: OK
368
#    Time: 12740 ns  Iteration: 0  Instance: /esoc_tb
369
add wave sim:/esoc_tb/esoc_tb/esoc_ports__0/u0/u0/u0/*
370
restart
371
# ** Warning: (vsim-WLF-5000) Waveform log file vsim.wlf currently in use.
372
# File in use by: Saskia & Bert  Hostname: NETBOOK  ProcessID: 2492
373
#           Attempting to use alternate file "./wlft5108w2".
374
# ** Warning: (vsim-WLF-5001) Could not open waveform log file vsim.wlf.  Using ./wlft5108w2 instead.
375
run
376
# ** Note: Cyclone III PLL locked to incoming clock
377
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u1/altpll_component/cycloneiii_altpll/m5
378
# ** Note: Cyclone III PLL locked to incoming clock
379
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u3/altpll_component/cycloneiii_altpll/m5
380
# ** Note: ESOC Reset -> reset released
381
#    Time: 1 us  Iteration: 0  Instance: /esoc_tb
382
# ** Note: ESOC RGMII Preprocessing -> start of processing stimuli for RGMII interfaces
383
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
384
# ** Note: ESOC RGMII Preprocessing -> packet with length of 86 bytes written to port 00
385
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
386
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0030 pstart: 0x00 crc: 0x110B2B50 ipg: 0x000C
387
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
388
# ** Note: ESOC RGMII Preprocessing -> packet with length of 102 bytes written to port 00
389
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
390
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0040 pstart: 0x00 crc: 0x0CBF189D ipg: 0x000C
391
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
392
# ** Note: ESOC RGMII Preprocessing -> end of processing stimuli for RGMII interfaces
393
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
394
# ** Note: ESOC RGMII Transmit -> start of transmitting stimuli to RGMII interfaces
395
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
396
# ** Note: ESOC Control -> generate read/write cycles on control interface
397
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
398
# ** Note: ESOC Control -> write 0400805Bh to address 0002h
399
#    Time: 2120 ns  Iteration: 0  Instance: /esoc_tb
400
# ** Note: ESOC Control -> write 00022017h to address 0003h
401
#    Time: 2440 ns  Iteration: 0  Instance: /esoc_tb
402
# ** Note: ESOC Control -> write 00022017h to address 0004h
403
#    Time: 2760 ns  Iteration: 0  Instance: /esoc_tb
404
# ** Note: ESOC Control -> write 0000000Ch to address 0017h
405
#    Time: 3080 ns  Iteration: 0  Instance: /esoc_tb
406
# ** Note: ESOC Control -> write 000005EEh to address 0005h
407
#    Time: 3400 ns  Iteration: 0  Instance: /esoc_tb
408
# ** Note: ESOC Control -> write 0000000Fh to address 0006h
409
#    Time: 3720 ns  Iteration: 0  Instance: /esoc_tb
410
# ** Note: ESOC Control -> write 00000000h to address 0007h
411
#    Time: 4040 ns  Iteration: 0  Instance: /esoc_tb
412
# ** Note: ESOC Control -> write 00000010h to address 0008h
413
#    Time: 4360 ns  Iteration: 0  Instance: /esoc_tb
414
# ** Note: ESOC Control -> write 00000010h to address 0009h
415
#    Time: 4680 ns  Iteration: 0  Instance: /esoc_tb
416
# ** Note: ESOC Control -> write 00000010h to address 000ah
417
#    Time: 5 us  Iteration: 0  Instance: /esoc_tb
418
# ** Note: ESOC Control -> write 00000008h to address 000bh
419
#    Time: 5320 ns  Iteration: 0  Instance: /esoc_tb
420
# ** Note: ESOC Control -> write 00000008h to address 000ch
421
#    Time: 5640 ns  Iteration: 0  Instance: /esoc_tb
422
# ** Note: ESOC Control -> write 00000008h to address 000dh
423
#    Time: 5960 ns  Iteration: 0  Instance: /esoc_tb
424
# ** Note: ESOC Control -> write 0000000Ah to address 000eh
425
#    Time: 6280 ns  Iteration: 0  Instance: /esoc_tb
426
# ** Note: ESOC Control -> write 00000000h to address 003ah
427
#    Time: 6600 ns  Iteration: 0  Instance: /esoc_tb
428
# ** Note: ESOC Control -> write 00000000h to address 003bh
429
#    Time: 6920 ns  Iteration: 0  Instance: /esoc_tb
430
# ** Note: ESOC Control -> read from address 0002h 0400805Bh, expected 0400005Bh, status: ERROR
431
#    Time: 7260 ns  Iteration: 0  Instance: /esoc_tb
432
# ** Note: ESOC Control -> read from address 0003h 00022017h, expected 0400005Bh, status: ERROR
433
#    Time: 7600 ns  Iteration: 0  Instance: /esoc_tb
434
# ** Note: ESOC Control -> read from address 0004h 00002017h, expected 0400005Bh, status: ERROR
435
#    Time: 7940 ns  Iteration: 0  Instance: /esoc_tb
436
# ** Note: ESOC Control -> read from address 0005h 000005EEh, expected 000005EEh, status: OK
437
#    Time: 8280 ns  Iteration: 0  Instance: /esoc_tb
438
# ** Note: ESOC Control -> read from address 0006h 0000000Fh, expected 0000000Fh, status: OK
439
#    Time: 8620 ns  Iteration: 0  Instance: /esoc_tb
440
# ** Note: ESOC Control -> read from address 0007h 00000000h, expected 00000400h, status: ERROR
441
#    Time: 8960 ns  Iteration: 0  Instance: /esoc_tb
442
# ** Note: ESOC Control -> read from address 0008h 00000010h, expected 00000000h, status: ERROR
443
#    Time: 9300 ns  Iteration: 0  Instance: /esoc_tb
444
# ** Note: ESOC Control -> read from address 0009h 00000010h, expected 000007F8h, status: ERROR
445
#    Time: 9640 ns  Iteration: 0  Instance: /esoc_tb
446
# ** Note: ESOC Control -> read from address 000ah 00000010h, expected 00000000h, status: ERROR
447
#    Time: 9980 ns  Iteration: 0  Instance: /esoc_tb
448
do run.do 15 4 4
449
# 3. Simulation/0. Logs
450
# 3. Simulation/1. Scripts
451
# 3. Simulation/3. Waves
452
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
453
# -- Loading package standard
454
# -- Loading package std_logic_1164
455
# -- Loading package textio
456
# -- Loading package numeric_std
457
# -- Loading package pck_crc32_d8
458
# -- Loading package esoc_configuration
459
# -- Loading package package_txt_utilities
460
# -- Compiling entity esoc_tb
461
# -- Compiling architecture esoc_tb of esoc_tb
462
# vsim -t ps -novopt work.esoc_tb
463
# Loading std.standard
464
# Loading ieee.std_logic_1164(body)
465
# Loading std.textio(body)
466
# Loading ieee.numeric_std(body)
467
# Loading work.pck_crc32_d8(body)
468
# Loading work.esoc_configuration(body)
469
# Loading work.package_txt_utilities(body)
470
# Loading work.esoc_tb(esoc_tb)
471
# Loading work.esoc(structure)
472
# Loading work.esoc_port(esoc_port)
473
# Loading work.esoc_port_interface(structure)
474
# Loading work.esoc_port_mal(port_mal)
475
# Loading work.esoc_port_mal_control(esoc_port_mal_control)
476
# Loading work.esoc_port_mal_inbound(esoc_port_mal_inbound)
477
# Loading work.esoc_port_mal_outbound(esoc_port_mal_outbound)
478
# Loading work.esoc_port_mal_clock(esoc_port_mal_clock)
479
# Loading altera_mf.altera_mf_components
480
# Loading sgate.sgate_pack(body)
481
# Loading work.esoc_port_mac(rtl)
482
# Loading ieee.std_logic_arith(body)
483
# Loading ieee.std_logic_unsigned(body)
484
# Loading altera_mf.altera_device_families(body)
485
# Loading altera_mf.altera_common_conversion(body)
486
# Loading altera_mf.altera_mf_hint_evaluation(body)
487
# Loading altera_mf.alt3pram(behavior)
488
# Loading altera_mf.altsyncram(translated)
489
# Loading altera_mf.altddio_in(behave)
490
# Loading altera_mf.altddio_out(behave)
491
# Loading altera_mf.altshift_taps(behavioural)
492
# Loading ieee.std_logic_signed(body)
493
# Loading sgate.oper_add(sim_arch)
494
# Loading sgate.oper_decoder(sim_arch)
495
# Loading sgate.oper_less_than(sim_arch)
496
# Loading sgate.oper_mux(sim_arch)
497
# Loading sgate.oper_selector(sim_arch)
498
# Loading work.esoc_port_processor(structure)
499
# Loading work.esoc_control(esoc_control)
500
# Loading work.esoc_reset(esoc_reset)
501
# Loading work.esoc_db_arbiter(esoc_db_arbiter)
502
# Loading work.esoc_search_engine(esoc_search)
503
# Loading work.esoc_pll1_c3(syn)
504
# Loading altera_mf.mf_pllpack(body)
505
# Loading altera_mf.altpll(behavior)
506
# Loading altera_mf.mf_cycloneiii_pll(vital_pll)
507
# Loading altera_mf.mf_cda_mn_cntr(behave)
508
# Loading altera_mf.mf_cda_scale_cntr(behave)
509
# Loading work.esoc_pll2_c3(syn)
510
# ** Warning: (vsim-WLF-5000) Waveform log file vsim.wlf currently in use.
511
# File in use by: Saskia & Bert  Hostname: NETBOOK  ProcessID: 2492
512
#           Attempting to use alternate file "./wlft8rmq2q".
513
# ** Warning: (vsim-WLF-5001) Could not open waveform log file vsim.wlf.  Using ./wlft8rmq2q instead.
514
# ** Note: Cyclone III PLL locked to incoming clock
515
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u1/altpll_component/cycloneiii_altpll/m5
516
# ** Note: Cyclone III PLL locked to incoming clock
517
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u3/altpll_component/cycloneiii_altpll/m5
518
# ** Note: ESOC Reset -> reset released
519
#    Time: 1 us  Iteration: 0  Instance: /esoc_tb
520
# ** Note: ESOC RGMII Preprocessing -> start of processing stimuli for RGMII interfaces
521
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
522
# ** Note: ESOC RGMII Preprocessing -> packet with length of 86 bytes written to port 00
523
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
524
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0030 pstart: 0x00 crc: 0x110B2B50 ipg: 0x000C
525
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
526
# ** Note: ESOC RGMII Preprocessing -> packet with length of 102 bytes written to port 00
527
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
528
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0040 pstart: 0x00 crc: 0x0CBF189D ipg: 0x000C
529
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
530
# ** Note: ESOC RGMII Preprocessing -> end of processing stimuli for RGMII interfaces
531
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
532
# ** Note: ESOC RGMII Transmit -> start of transmitting stimuli to RGMII interfaces
533
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
534
# ** Note: ESOC Control -> generate read/write cycles on control interface
535
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
536
# ** Note: ESOC Control -> write 0400805Bh to address 0002h
537
#    Time: 2120 ns  Iteration: 0  Instance: /esoc_tb
538
# ** Note: ESOC Control -> write 00022017h to address 0003h
539
#    Time: 2440 ns  Iteration: 0  Instance: /esoc_tb
540
# ** Note: ESOC Control -> write 00022017h to address 0004h
541
#    Time: 2760 ns  Iteration: 0  Instance: /esoc_tb
542
# ** Note: ESOC Control -> write 0000000Ch to address 0017h
543
#    Time: 3080 ns  Iteration: 0  Instance: /esoc_tb
544
# ** Note: ESOC Control -> write 000005EEh to address 0005h
545
#    Time: 3400 ns  Iteration: 0  Instance: /esoc_tb
546
# ** Note: ESOC Control -> write 0000000Fh to address 0006h
547
#    Time: 3720 ns  Iteration: 0  Instance: /esoc_tb
548
# ** Note: ESOC Control -> write 00000000h to address 0007h
549
#    Time: 4040 ns  Iteration: 0  Instance: /esoc_tb
550
# ** Note: ESOC Control -> write 00000010h to address 0008h
551
#    Time: 4360 ns  Iteration: 0  Instance: /esoc_tb
552
# ** Note: ESOC Control -> write 00000010h to address 0009h
553
#    Time: 4680 ns  Iteration: 0  Instance: /esoc_tb
554
# ** Note: ESOC Control -> write 00000010h to address 000ah
555
#    Time: 5 us  Iteration: 0  Instance: /esoc_tb
556
# ** Note: ESOC Control -> write 00000008h to address 000bh
557
#    Time: 5320 ns  Iteration: 0  Instance: /esoc_tb
558
# ** Note: ESOC Control -> write 00000008h to address 000ch
559
#    Time: 5640 ns  Iteration: 0  Instance: /esoc_tb
560
# ** Note: ESOC Control -> write 00000008h to address 000dh
561
#    Time: 5960 ns  Iteration: 0  Instance: /esoc_tb
562
# ** Note: ESOC Control -> write 0000000Ah to address 000eh
563
#    Time: 6280 ns  Iteration: 0  Instance: /esoc_tb
564
# ** Note: ESOC Control -> write 00000000h to address 003ah
565
#    Time: 6600 ns  Iteration: 0  Instance: /esoc_tb
566
# ** Note: ESOC Control -> write 00000000h to address 003bh
567
#    Time: 6920 ns  Iteration: 0  Instance: /esoc_tb
568
# ** Note: ESOC Control -> read from address 0002h 0400805Bh, expected 0400005Bh, status: ERROR
569
#    Time: 7260 ns  Iteration: 0  Instance: /esoc_tb
570
# ** Note: ESOC Control -> read from address 0003h 00022017h, expected 0400005Bh, status: ERROR
571
#    Time: 7600 ns  Iteration: 0  Instance: /esoc_tb
572
# ** Note: ESOC Control -> read from address 0004h 00002017h, expected 0400005Bh, status: ERROR
573
#    Time: 7940 ns  Iteration: 0  Instance: /esoc_tb
574
# ** Note: ESOC Control -> read from address 0005h 000005EEh, expected 000005EEh, status: OK
575
#    Time: 8280 ns  Iteration: 0  Instance: /esoc_tb
576
# ** Note: ESOC Control -> read from address 0006h 0000000Fh, expected 0000000Fh, status: OK
577
#    Time: 8620 ns  Iteration: 0  Instance: /esoc_tb
578
# ** Note: ESOC Control -> read from address 0007h 00000000h, expected 00000400h, status: ERROR
579
#    Time: 8960 ns  Iteration: 0  Instance: /esoc_tb
580
# ** Note: ESOC Control -> read from address 0008h 00000010h, expected 00000000h, status: ERROR
581
#    Time: 9300 ns  Iteration: 0  Instance: /esoc_tb
582
# ** Note: ESOC Control -> read from address 0009h 00000010h, expected 000007F8h, status: ERROR
583
#    Time: 9640 ns  Iteration: 0  Instance: /esoc_tb
584
# ** Note: ESOC Control -> read from address 000ah 00000010h, expected 00000000h, status: ERROR
585
#    Time: 9980 ns  Iteration: 0  Instance: /esoc_tb
586
# ** Note: ESOC Control -> read from address 000bh 00000008h, expected 00000008h, status: OK
587
#    Time: 10320 ns  Iteration: 0  Instance: /esoc_tb
588
# ** Note: ESOC Control -> read from address 000ch 00000008h, expected 00000008h, status: OK
589
#    Time: 10660 ns  Iteration: 0  Instance: /esoc_tb
590
# ** Note: ESOC Control -> read from address 000dh 00000008h, expected 00000008h, status: OK
591
#    Time: 11 us  Iteration: 0  Instance: /esoc_tb
592
# ** Note: ESOC Control -> read from address 000eh 0000000Ah, expected 00000003h, status: ERROR
593
#    Time: 11340 ns  Iteration: 0  Instance: /esoc_tb
594
# ** Note: ESOC Control -> read from address 0017h 0000000Ch, expected 0000000Ch, status: OK
595
#    Time: 11680 ns  Iteration: 0  Instance: /esoc_tb
596
# ** Note: ESOC Control -> read from address 003ah 00000000h, expected 00000000h, status: OK
597
#    Time: 12020 ns  Iteration: 0  Instance: /esoc_tb
598
# ** Note: ESOC Control -> read from address 003bh 00000000h, expected 00000000h, status: OK
599
#    Time: 12360 ns  Iteration: 0  Instance: /esoc_tb
600
# ** Note: ESOC Control -> write 00000001h to address ff00h
601
#    Time: 12650 ns  Iteration: 0  Instance: /esoc_tb
602
# ** Note: ESOC Control -> read from address ff00h 00000001h, expected 00000001h, status: OK
603
#    Time: 12740 ns  Iteration: 0  Instance: /esoc_tb
604
do build.do
605
# c:/data/temp/1. eSoc
606
# 2. Sources/altera
607
# 2. Sources/esoc.ews/design.hdl
608
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
609
# -- Loading package standard
610
# -- Loading package std_logic_1164
611
# -- Loading package textio
612
# -- Loading package numeric_std
613
# -- Compiling package package_txt_utilities
614
# -- Compiling package body package_txt_utilities
615
# -- Loading package package_txt_utilities
616
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
617
# -- Loading package standard
618
# -- Loading package std_logic_1164
619
# -- Compiling package pck_crc32_d8
620
# -- Compiling package body pck_crc32_d8
621
# -- Loading package pck_crc32_d8
622
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
623
# -- Loading package standard
624
# -- Loading package std_logic_1164
625
# -- Loading package textio
626
# -- Loading package numeric_std
627
# -- Compiling package esoc_configuration
628
# -- Compiling package body esoc_configuration
629
# -- Loading package esoc_configuration
630
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
631
# -- Loading package standard
632
# -- Loading package std_logic_1164
633
# -- Loading package textio
634
# -- Loading package numeric_std
635
# -- Loading package esoc_configuration
636
# -- Compiling entity esoc_control
637
# -- Compiling architecture esoc_control of esoc_control
638
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
639
# -- Loading package standard
640
# -- Loading package std_logic_1164
641
# -- Loading package textio
642
# -- Loading package numeric_std
643
# -- Loading package esoc_configuration
644
# -- Compiling entity esoc_db_arbiter
645
# -- Compiling architecture esoc_db_arbiter of esoc_db_arbiter
646
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
647
# -- Loading package standard
648
# -- Loading package std_logic_1164
649
# -- Loading package textio
650
# -- Loading package numeric_std
651
# -- Loading package esoc_configuration
652
# -- Compiling entity esoc_port_mal_clock
653
# -- Compiling architecture esoc_port_mal_clock of esoc_port_mal_clock
654
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
655
# -- Loading package standard
656
# -- Loading package std_logic_1164
657
# -- Loading package textio
658
# -- Loading package numeric_std
659
# -- Loading package esoc_configuration
660
# -- Compiling entity esoc_port_mal_control
661
# -- Compiling architecture esoc_port_mal_control of esoc_port_mal_control
662
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
663
# -- Loading package standard
664
# -- Loading package std_logic_1164
665
# -- Loading package textio
666
# -- Loading package numeric_std
667
# -- Loading package esoc_configuration
668
# -- Compiling entity esoc_port_mal_inbound
669
# -- Compiling architecture esoc_port_mal_inbound of esoc_port_mal_inbound
670
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
671
# -- Loading package standard
672
# -- Loading package std_logic_1164
673
# -- Loading package textio
674
# -- Loading package numeric_std
675
# -- Loading package esoc_configuration
676
# -- Compiling entity esoc_port_mal_outbound
677
# -- Compiling architecture esoc_port_mal_outbound of esoc_port_mal_outbound
678
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
679
# -- Loading package standard
680
# -- Loading package std_logic_1164
681
# -- Loading package textio
682
# -- Loading package numeric_std
683
# -- Loading package esoc_configuration
684
# -- Compiling entity esoc_port_mal
685
# -- Compiling architecture port_mal of esoc_port_mal
686
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
687
# -- Loading package standard
688
# -- Loading package std_logic_1164
689
# -- Loading package textio
690
# -- Loading package numeric_std
691
# -- Loading package esoc_configuration
692
# -- Compiling entity esoc_port_interface
693
# -- Compiling architecture structure of esoc_port_interface
694
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
695
# -- Loading package standard
696
# -- Loading package std_logic_1164
697
# -- Loading package textio
698
# -- Loading package numeric_std
699
# -- Loading package esoc_configuration
700
# -- Compiling entity esoc_port_processor
701
# -- Compiling architecture structure of esoc_port_processor
702
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
703
# -- Loading package standard
704
# -- Loading package std_logic_1164
705
# -- Loading package textio
706
# -- Loading package numeric_std
707
# -- Loading package esoc_configuration
708
# -- Compiling entity esoc_port
709
# -- Compiling architecture esoc_port of esoc_port
710
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
711
# -- Loading package standard
712
# -- Loading package std_logic_1164
713
# -- Loading package textio
714
# -- Loading package numeric_std
715
# -- Loading package esoc_configuration
716
# -- Compiling entity esoc_reset
717
# -- Compiling architecture esoc_reset of esoc_reset
718
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
719
# -- Loading package standard
720
# -- Loading package std_logic_1164
721
# -- Loading package textio
722
# -- Loading package numeric_std
723
# -- Loading package esoc_configuration
724
# -- Compiling entity esoc_search_engine
725
# -- Compiling architecture esoc_search of esoc_search_engine
726
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
727
# -- Loading package standard
728
# -- Loading package std_logic_1164
729
# -- Loading package textio
730
# -- Loading package numeric_std
731
# -- Loading package esoc_configuration
732
# -- Compiling entity esoc
733
# -- Compiling architecture structure of esoc
734
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
735
# -- Loading package standard
736
# -- Loading package std_logic_1164
737
# -- Compiling entity esoc_pll1_c3
738
# -- Compiling architecture syn of esoc_pll1_c3
739
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
740
# -- Loading package standard
741
# -- Loading package std_logic_1164
742
# -- Compiling entity esoc_pll2_c3
743
# -- Compiling architecture syn of esoc_pll2_c3
744
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
745
# -- Loading package standard
746
# -- Loading package std_logic_1164
747
# -- Loading package altera_mf_components
748
# -- Loading package sgate_pack
749
# -- Compiling entity esoc_port_mac
750
# -- Compiling architecture rtl of esoc_port_mac
751
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
752
# -- Loading package standard
753
# -- Loading package std_logic_1164
754
# -- Loading package textio
755
# -- Loading package numeric_std
756
# -- Loading package pck_crc32_d8
757
# -- Loading package esoc_configuration
758
# -- Loading package package_txt_utilities
759
# -- Compiling entity esoc_tb
760
# -- Compiling architecture esoc_tb of esoc_tb
761
# vsim -t ps work.esoc_tb
762
# Loading std.standard
763
# Loading ieee.std_logic_1164(body)
764
# Loading std.textio(body)
765
# Loading ieee.numeric_std(body)
766
# Loading work.pck_crc32_d8(body)
767
# Loading work.esoc_configuration(body)
768
# Loading work.package_txt_utilities(body)
769
# Loading work.esoc_tb(esoc_tb)
770
# Loading work.esoc(structure)
771
# Loading work.esoc_port(esoc_port)
772
# Loading work.esoc_port_interface(structure)
773
# Loading work.esoc_port_mal(port_mal)
774
# Loading work.esoc_port_mal_control(esoc_port_mal_control)
775
# Loading work.esoc_port_mal_inbound(esoc_port_mal_inbound)
776
# Loading work.esoc_port_mal_outbound(esoc_port_mal_outbound)
777
# Loading work.esoc_port_mal_clock(esoc_port_mal_clock)
778
# Loading altera_mf.altera_mf_components
779
# Loading sgate.sgate_pack(body)
780
# Loading work.esoc_port_mac(rtl)
781
# Loading ieee.std_logic_arith(body)
782
# Loading ieee.std_logic_unsigned(body)
783
# Loading altera_mf.altera_device_families(body)
784
# Loading altera_mf.altera_common_conversion(body)
785
# Loading altera_mf.altera_mf_hint_evaluation(body)
786
# Loading altera_mf.alt3pram(behavior)
787
# Loading altera_mf.altsyncram(translated)
788
# Loading altera_mf.altddio_in(behave)
789
# Loading altera_mf.altddio_out(behave)
790
# Loading altera_mf.altshift_taps(behavioural)
791
# Loading ieee.std_logic_signed(body)
792
# Loading sgate.oper_add(sim_arch)
793
# Loading sgate.oper_decoder(sim_arch)
794
# Loading sgate.oper_less_than(sim_arch)
795
# Loading sgate.oper_mux(sim_arch)
796
# Loading sgate.oper_selector(sim_arch)
797
# Loading work.esoc_port_processor(structure)
798
# Loading work.esoc_control(esoc_control)
799
# Loading work.esoc_reset(esoc_reset)
800
# Loading work.esoc_db_arbiter(esoc_db_arbiter)
801
# Loading work.esoc_search_engine(esoc_search)
802
# Loading work.esoc_pll1_c3(syn)
803
# Loading altera_mf.mf_pllpack(body)
804
# Loading altera_mf.altpll(behavior)
805
# Loading altera_mf.mf_cycloneiii_pll(vital_pll)
806
# Loading altera_mf.mf_cda_mn_cntr(behave)
807
# Loading altera_mf.mf_cda_scale_cntr(behave)
808
# Loading work.esoc_pll2_c3(syn)
809
do run.do 5 4 4
810
# 3. Simulation/0. Logs
811
# 3. Simulation/1. Scripts
812
# 3. Simulation/3. Waves
813
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
814
# -- Loading package standard
815
# -- Loading package std_logic_1164
816
# -- Loading package textio
817
# -- Loading package numeric_std
818
# -- Loading package pck_crc32_d8
819
# -- Loading package esoc_configuration
820
# -- Loading package package_txt_utilities
821
# -- Compiling entity esoc_tb
822
# -- Compiling architecture esoc_tb of esoc_tb
823
# vsim -t ps -novopt work.esoc_tb
824
# Loading std.standard
825
# Loading ieee.std_logic_1164(body)
826
# Loading std.textio(body)
827
# Loading ieee.numeric_std(body)
828
# Loading work.pck_crc32_d8(body)
829
# Loading work.esoc_configuration(body)
830
# Loading work.package_txt_utilities(body)
831
# Loading work.esoc_tb(esoc_tb)
832
# Loading work.esoc(structure)
833
# Loading work.esoc_port(esoc_port)
834
# Loading work.esoc_port_interface(structure)
835
# Loading work.esoc_port_mal(port_mal)
836
# Loading work.esoc_port_mal_control(esoc_port_mal_control)
837
# Loading work.esoc_port_mal_inbound(esoc_port_mal_inbound)
838
# Loading work.esoc_port_mal_outbound(esoc_port_mal_outbound)
839
# Loading work.esoc_port_mal_clock(esoc_port_mal_clock)
840
# Loading altera_mf.altera_mf_components
841
# Loading sgate.sgate_pack(body)
842
# Loading work.esoc_port_mac(rtl)
843
# Loading ieee.std_logic_arith(body)
844
# Loading ieee.std_logic_unsigned(body)
845
# Loading altera_mf.altera_device_families(body)
846
# Loading altera_mf.altera_common_conversion(body)
847
# Loading altera_mf.altera_mf_hint_evaluation(body)
848
# Loading altera_mf.alt3pram(behavior)
849
# Loading altera_mf.altsyncram(translated)
850
# Loading altera_mf.altddio_in(behave)
851
# Loading altera_mf.altddio_out(behave)
852
# Loading altera_mf.altshift_taps(behavioural)
853
# Loading ieee.std_logic_signed(body)
854
# Loading sgate.oper_add(sim_arch)
855
# Loading sgate.oper_decoder(sim_arch)
856
# Loading sgate.oper_less_than(sim_arch)
857
# Loading sgate.oper_mux(sim_arch)
858
# Loading sgate.oper_selector(sim_arch)
859
# Loading work.esoc_port_processor(structure)
860
# Loading work.esoc_control(esoc_control)
861
# Loading work.esoc_reset(esoc_reset)
862
# Loading work.esoc_db_arbiter(esoc_db_arbiter)
863
# Loading work.esoc_search_engine(esoc_search)
864
# Loading work.esoc_pll1_c3(syn)
865
# Loading altera_mf.mf_pllpack(body)
866
# Loading altera_mf.altpll(behavior)
867
# Loading altera_mf.mf_cycloneiii_pll(vital_pll)
868
# Loading altera_mf.mf_cda_mn_cntr(behave)
869
# Loading altera_mf.mf_cda_scale_cntr(behave)
870
# Loading work.esoc_pll2_c3(syn)
871
# ** Warning: (vsim-WLF-5000) Waveform log file vsim.wlf currently in use.
872
# File in use by: Saskia & Bert  Hostname: NETBOOK  ProcessID: 2492
873
#           Attempting to use alternate file "./wlfteh30jc".
874
# ** Warning: (vsim-WLF-5001) Could not open waveform log file vsim.wlf.  Using ./wlfteh30jc instead.
875
# ** Note: Cyclone III PLL locked to incoming clock
876
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u1/altpll_component/cycloneiii_altpll/m5
877
# ** Note: Cyclone III PLL locked to incoming clock
878
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u3/altpll_component/cycloneiii_altpll/m5
879
# ** Note: ESOC Reset -> reset released
880
#    Time: 1 us  Iteration: 0  Instance: /esoc_tb
881
# ** Note: ESOC RGMII Preprocessing -> start of processing stimuli for RGMII interfaces
882
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
883
# ** Note: ESOC RGMII Preprocessing -> packet with length of 86 bytes written to port 00
884
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
885
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0030 pstart: 0x00 crc: 0x110B2B50 ipg: 0x000C
886
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
887
# ** Note: ESOC RGMII Preprocessing -> packet with length of 102 bytes written to port 00
888
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
889
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0040 pstart: 0x00 crc: 0x0CBF189D ipg: 0x000C
890
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
891
# ** Note: ESOC RGMII Preprocessing -> end of processing stimuli for RGMII interfaces
892
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
893
# ** Note: ESOC RGMII Transmit -> start of transmitting stimuli to RGMII interfaces
894
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
895
# ** Note: ESOC Control -> generate read/write cycles on control interface
896
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
897
# ** Note: ESOC Control -> write 0400805Bh to address 0002h
898
#    Time: 2120 ns  Iteration: 0  Instance: /esoc_tb
899
# ** Note: ESOC Control -> write 00022017h to address 0003h
900
#    Time: 2440 ns  Iteration: 0  Instance: /esoc_tb
901
# ** Note: ESOC Control -> write 00022017h to address 0004h
902
#    Time: 2760 ns  Iteration: 0  Instance: /esoc_tb
903
# ** Note: ESOC Control -> write 0000000Ch to address 0017h
904
#    Time: 3080 ns  Iteration: 0  Instance: /esoc_tb
905
# ** Note: ESOC Control -> write 000005EEh to address 0005h
906
#    Time: 3400 ns  Iteration: 0  Instance: /esoc_tb
907
# ** Note: ESOC Control -> write 0000000Fh to address 0006h
908
#    Time: 3720 ns  Iteration: 0  Instance: /esoc_tb
909
# ** Note: ESOC Control -> write 00000000h to address 0007h
910
#    Time: 4040 ns  Iteration: 0  Instance: /esoc_tb
911
# ** Note: ESOC Control -> write 00000010h to address 0008h
912
#    Time: 4360 ns  Iteration: 0  Instance: /esoc_tb
913
# ** Note: ESOC Control -> write 00000010h to address 0009h
914
#    Time: 4680 ns  Iteration: 0  Instance: /esoc_tb
915
# ** Note: ESOC Control -> write 00000010h to address 000ah
916
#    Time: 5 us  Iteration: 0  Instance: /esoc_tb
917
do build.do
918
# c:/data/temp/1. eSoc
919
# 2. Sources/altera
920
# 2. Sources/esoc.ews/design.hdl
921
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
922
# -- Loading package standard
923
# -- Loading package std_logic_1164
924
# -- Loading package textio
925
# -- Loading package numeric_std
926
# -- Compiling package package_txt_utilities
927
# -- Compiling package body package_txt_utilities
928
# -- Loading package package_txt_utilities
929
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
930
# -- Loading package standard
931
# -- Loading package std_logic_1164
932
# -- Compiling package pck_crc32_d8
933
# -- Compiling package body pck_crc32_d8
934
# -- Loading package pck_crc32_d8
935
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
936
# -- Loading package standard
937
# -- Loading package std_logic_1164
938
# -- Loading package textio
939
# -- Loading package numeric_std
940
# -- Compiling package esoc_configuration
941
# -- Compiling package body esoc_configuration
942
# -- Loading package esoc_configuration
943
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
944
# -- Loading package standard
945
# -- Loading package std_logic_1164
946
# -- Loading package textio
947
# -- Loading package numeric_std
948
# -- Loading package esoc_configuration
949
# -- Compiling entity esoc_control
950
# -- Compiling architecture esoc_control of esoc_control
951
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
952
# -- Loading package standard
953
# -- Loading package std_logic_1164
954
# -- Loading package textio
955
# -- Loading package numeric_std
956
# -- Loading package esoc_configuration
957
# -- Compiling entity esoc_db_arbiter
958
# -- Compiling architecture esoc_db_arbiter of esoc_db_arbiter
959
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
960
# -- Loading package standard
961
# -- Loading package std_logic_1164
962
# -- Loading package textio
963
# -- Loading package numeric_std
964
# -- Loading package esoc_configuration
965
# -- Compiling entity esoc_port_mal_clock
966
# -- Compiling architecture esoc_port_mal_clock of esoc_port_mal_clock
967
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
968
# -- Loading package standard
969
# -- Loading package std_logic_1164
970
# -- Loading package textio
971
# -- Loading package numeric_std
972
# -- Loading package esoc_configuration
973
# -- Compiling entity esoc_port_mal_control
974
# -- Compiling architecture esoc_port_mal_control of esoc_port_mal_control
975
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
976
# -- Loading package standard
977
# -- Loading package std_logic_1164
978
# -- Loading package textio
979
# -- Loading package numeric_std
980
# -- Loading package esoc_configuration
981
# -- Compiling entity esoc_port_mal_inbound
982
# -- Compiling architecture esoc_port_mal_inbound of esoc_port_mal_inbound
983
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
984
# -- Loading package standard
985
# -- Loading package std_logic_1164
986
# -- Loading package textio
987
# -- Loading package numeric_std
988
# -- Loading package esoc_configuration
989
# -- Compiling entity esoc_port_mal_outbound
990
# -- Compiling architecture esoc_port_mal_outbound of esoc_port_mal_outbound
991
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
992
# -- Loading package standard
993
# -- Loading package std_logic_1164
994
# -- Loading package textio
995
# -- Loading package numeric_std
996
# -- Loading package esoc_configuration
997
# -- Compiling entity esoc_port_mal
998
# -- Compiling architecture port_mal of esoc_port_mal
999
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1000
# -- Loading package standard
1001
# -- Loading package std_logic_1164
1002
# -- Loading package textio
1003
# -- Loading package numeric_std
1004
# -- Loading package esoc_configuration
1005
# -- Compiling entity esoc_port_interface
1006
# -- Compiling architecture structure of esoc_port_interface
1007
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1008
# -- Loading package standard
1009
# -- Loading package std_logic_1164
1010
# -- Loading package textio
1011
# -- Loading package numeric_std
1012
# -- Loading package esoc_configuration
1013
# -- Compiling entity esoc_port_processor
1014
# -- Compiling architecture structure of esoc_port_processor
1015
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1016
# -- Loading package standard
1017
# -- Loading package std_logic_1164
1018
# -- Loading package textio
1019
# -- Loading package numeric_std
1020
# -- Loading package esoc_configuration
1021
# -- Compiling entity esoc_port
1022
# -- Compiling architecture esoc_port of esoc_port
1023
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1024
# -- Loading package standard
1025
# -- Loading package std_logic_1164
1026
# -- Loading package textio
1027
# -- Loading package numeric_std
1028
# -- Loading package esoc_configuration
1029
# -- Compiling entity esoc_reset
1030
# -- Compiling architecture esoc_reset of esoc_reset
1031
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1032
# -- Loading package standard
1033
# -- Loading package std_logic_1164
1034
# -- Loading package textio
1035
# -- Loading package numeric_std
1036
# -- Loading package esoc_configuration
1037
# -- Compiling entity esoc_search_engine
1038
# -- Compiling architecture esoc_search of esoc_search_engine
1039
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1040
# -- Loading package standard
1041
# -- Loading package std_logic_1164
1042
# -- Loading package textio
1043
# -- Loading package numeric_std
1044
# -- Loading package esoc_configuration
1045
# -- Compiling entity esoc
1046
# -- Compiling architecture structure of esoc
1047
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1048
# -- Loading package standard
1049
# -- Loading package std_logic_1164
1050
# -- Compiling entity esoc_pll1_c3
1051
# -- Compiling architecture syn of esoc_pll1_c3
1052
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1053
# -- Loading package standard
1054
# -- Loading package std_logic_1164
1055
# -- Compiling entity esoc_pll2_c3
1056
# -- Compiling architecture syn of esoc_pll2_c3
1057
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1058
# -- Loading package standard
1059
# -- Loading package std_logic_1164
1060
# -- Loading package altera_mf_components
1061
# -- Loading package sgate_pack
1062
# -- Compiling entity esoc_port_mac
1063
# -- Compiling architecture rtl of esoc_port_mac
1064
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1065
# -- Loading package standard
1066
# -- Loading package std_logic_1164
1067
# -- Loading package textio
1068
# -- Loading package numeric_std
1069
# -- Loading package pck_crc32_d8
1070
# -- Loading package esoc_configuration
1071
# -- Loading package package_txt_utilities
1072
# -- Compiling entity esoc_tb
1073
# -- Compiling architecture esoc_tb of esoc_tb
1074
# vsim -t ps work.esoc_tb
1075
# Loading std.standard
1076
# Loading ieee.std_logic_1164(body)
1077
# Loading std.textio(body)
1078
# Loading ieee.numeric_std(body)
1079
# Loading work.pck_crc32_d8(body)
1080
# Loading work.esoc_configuration(body)
1081
# Loading work.package_txt_utilities(body)
1082
# Loading work.esoc_tb(esoc_tb)
1083
# Loading work.esoc(structure)
1084
# Loading work.esoc_port(esoc_port)
1085
# Loading work.esoc_port_interface(structure)
1086
# Loading work.esoc_port_mal(port_mal)
1087
# Loading work.esoc_port_mal_control(esoc_port_mal_control)
1088
# Loading work.esoc_port_mal_inbound(esoc_port_mal_inbound)
1089
# Loading work.esoc_port_mal_outbound(esoc_port_mal_outbound)
1090
# Loading work.esoc_port_mal_clock(esoc_port_mal_clock)
1091
# Loading altera_mf.altera_mf_components
1092
# Loading sgate.sgate_pack(body)
1093
# Loading work.esoc_port_mac(rtl)
1094
# Loading ieee.std_logic_arith(body)
1095
# Loading ieee.std_logic_unsigned(body)
1096
# Loading altera_mf.altera_device_families(body)
1097
# Loading altera_mf.altera_common_conversion(body)
1098
# Loading altera_mf.altera_mf_hint_evaluation(body)
1099
# Loading altera_mf.alt3pram(behavior)
1100
# Loading altera_mf.altsyncram(translated)
1101
# Loading altera_mf.altddio_in(behave)
1102
# Loading altera_mf.altddio_out(behave)
1103
# Loading altera_mf.altshift_taps(behavioural)
1104
# Loading ieee.std_logic_signed(body)
1105
# Loading sgate.oper_add(sim_arch)
1106
# Loading sgate.oper_decoder(sim_arch)
1107
# Loading sgate.oper_less_than(sim_arch)
1108
# Loading sgate.oper_mux(sim_arch)
1109
# Loading sgate.oper_selector(sim_arch)
1110
# Loading work.esoc_port_processor(structure)
1111
# Loading work.esoc_control(esoc_control)
1112
# Loading work.esoc_reset(esoc_reset)
1113
# Loading work.esoc_db_arbiter(esoc_db_arbiter)
1114
# Loading work.esoc_search_engine(esoc_search)
1115
# Loading work.esoc_pll1_c3(syn)
1116
# Loading altera_mf.mf_pllpack(body)
1117
# Loading altera_mf.altpll(behavior)
1118
# Loading altera_mf.mf_cycloneiii_pll(vital_pll)
1119
# Loading altera_mf.mf_cda_mn_cntr(behave)
1120
# Loading altera_mf.mf_cda_scale_cntr(behave)
1121
# Loading work.esoc_pll2_c3(syn)
1122
do run.do 10 4 4
1123
# 3. Simulation/0. Logs
1124
# 3. Simulation/1. Scripts
1125
# 3. Simulation/3. Waves
1126
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1127
# -- Loading package standard
1128
# -- Loading package std_logic_1164
1129
# -- Loading package textio
1130
# -- Loading package numeric_std
1131
# -- Loading package pck_crc32_d8
1132
# -- Loading package esoc_configuration
1133
# -- Loading package package_txt_utilities
1134
# -- Compiling entity esoc_tb
1135
# -- Compiling architecture esoc_tb of esoc_tb
1136
# vsim -t ps -novopt work.esoc_tb
1137
# Loading std.standard
1138
# Loading ieee.std_logic_1164(body)
1139
# Loading std.textio(body)
1140
# Loading ieee.numeric_std(body)
1141
# Loading work.pck_crc32_d8(body)
1142
# Loading work.esoc_configuration(body)
1143
# Loading work.package_txt_utilities(body)
1144
# Loading work.esoc_tb(esoc_tb)
1145
# Loading work.esoc(structure)
1146
# Loading work.esoc_port(esoc_port)
1147
# Loading work.esoc_port_interface(structure)
1148
# Loading work.esoc_port_mal(port_mal)
1149
# Loading work.esoc_port_mal_control(esoc_port_mal_control)
1150
# Loading work.esoc_port_mal_inbound(esoc_port_mal_inbound)
1151
# Loading work.esoc_port_mal_outbound(esoc_port_mal_outbound)
1152
# Loading work.esoc_port_mal_clock(esoc_port_mal_clock)
1153
# Loading altera_mf.altera_mf_components
1154
# Loading sgate.sgate_pack(body)
1155
# Loading work.esoc_port_mac(rtl)
1156
# Loading ieee.std_logic_arith(body)
1157
# Loading ieee.std_logic_unsigned(body)
1158
# Loading altera_mf.altera_device_families(body)
1159
# Loading altera_mf.altera_common_conversion(body)
1160
# Loading altera_mf.altera_mf_hint_evaluation(body)
1161
# Loading altera_mf.alt3pram(behavior)
1162
# Loading altera_mf.altsyncram(translated)
1163
# Loading altera_mf.altddio_in(behave)
1164
# Loading altera_mf.altddio_out(behave)
1165
# Loading altera_mf.altshift_taps(behavioural)
1166
# Loading ieee.std_logic_signed(body)
1167
# Loading sgate.oper_add(sim_arch)
1168
# Loading sgate.oper_decoder(sim_arch)
1169
# Loading sgate.oper_less_than(sim_arch)
1170
# Loading sgate.oper_mux(sim_arch)
1171
# Loading sgate.oper_selector(sim_arch)
1172
# Loading work.esoc_port_processor(structure)
1173
# Loading work.esoc_control(esoc_control)
1174
# Loading work.esoc_reset(esoc_reset)
1175
# Loading work.esoc_db_arbiter(esoc_db_arbiter)
1176
# Loading work.esoc_search_engine(esoc_search)
1177
# Loading work.esoc_pll1_c3(syn)
1178
# Loading altera_mf.mf_pllpack(body)
1179
# Loading altera_mf.altpll(behavior)
1180
# Loading altera_mf.mf_cycloneiii_pll(vital_pll)
1181
# Loading altera_mf.mf_cda_mn_cntr(behave)
1182
# Loading altera_mf.mf_cda_scale_cntr(behave)
1183
# Loading work.esoc_pll2_c3(syn)
1184
# ** Warning: (vsim-WLF-5000) Waveform log file vsim.wlf currently in use.
1185
# File in use by: Saskia & Bert  Hostname: NETBOOK  ProcessID: 2492
1186
#           Attempting to use alternate file "./wlft764wxe".
1187
# ** Warning: (vsim-WLF-5001) Could not open waveform log file vsim.wlf.  Using ./wlft764wxe instead.
1188
# ** Note: Cyclone III PLL locked to incoming clock
1189
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u1/altpll_component/cycloneiii_altpll/m5
1190
# ** Note: Cyclone III PLL locked to incoming clock
1191
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u3/altpll_component/cycloneiii_altpll/m5
1192
# ** Note: ESOC Reset -> reset released
1193
#    Time: 1 us  Iteration: 0  Instance: /esoc_tb
1194
# ** Note: ESOC RGMII Preprocessing -> start of processing stimuli for RGMII interfaces
1195
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1196
# ** Note: ESOC RGMII Preprocessing -> packet with length of 86 bytes written to port 00
1197
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1198
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0030 pstart: 0x00 crc: 0x110B2B50 ipg: 0x000C
1199
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1200
# ** Note: ESOC RGMII Preprocessing -> packet with length of 102 bytes written to port 00
1201
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1202
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0040 pstart: 0x00 crc: 0x0CBF189D ipg: 0x000C
1203
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1204
# ** Note: ESOC RGMII Preprocessing -> end of processing stimuli for RGMII interfaces
1205
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1206
# ** Note: ESOC RGMII Transmit -> start of transmitting stimuli to RGMII interfaces
1207
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1208
# ** Note: ESOC Control -> generate read/write cycles on control interface
1209
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1210
# ** Note: ESOC Control -> write 0400805Bh to address 0002h
1211
#    Time: 2120 ns  Iteration: 0  Instance: /esoc_tb
1212
# ** Note: ESOC Control -> write 00022017h to address 0003h
1213
#    Time: 2440 ns  Iteration: 0  Instance: /esoc_tb
1214
# ** Note: ESOC Control -> write 00022017h to address 0004h
1215
#    Time: 2760 ns  Iteration: 0  Instance: /esoc_tb
1216
# ** Note: ESOC Control -> write 0000000Ch to address 0017h
1217
#    Time: 3080 ns  Iteration: 0  Instance: /esoc_tb
1218
# ** Note: ESOC Control -> write 000005EEh to address 0005h
1219
#    Time: 3400 ns  Iteration: 0  Instance: /esoc_tb
1220
# ** Note: ESOC Control -> write 0000000Fh to address 0006h
1221
#    Time: 3720 ns  Iteration: 0  Instance: /esoc_tb
1222
# ** Note: ESOC Control -> write 00000000h to address 0007h
1223
#    Time: 4040 ns  Iteration: 0  Instance: /esoc_tb
1224
# ** Note: ESOC Control -> write 00000010h to address 0008h
1225
#    Time: 4360 ns  Iteration: 0  Instance: /esoc_tb
1226
# ** Note: ESOC Control -> write 00000010h to address 0009h
1227
#    Time: 4680 ns  Iteration: 0  Instance: /esoc_tb
1228
# ** Note: ESOC Control -> write 00000010h to address 000ah
1229
#    Time: 5 us  Iteration: 0  Instance: /esoc_tb
1230
# ** Note: ESOC Control -> write 00000008h to address 000bh
1231
#    Time: 5320 ns  Iteration: 0  Instance: /esoc_tb
1232
# ** Note: ESOC Control -> write 00000008h to address 000ch
1233
#    Time: 5640 ns  Iteration: 0  Instance: /esoc_tb
1234
# ** Note: ESOC Control -> write 00000008h to address 000dh
1235
#    Time: 5960 ns  Iteration: 0  Instance: /esoc_tb
1236
# ** Note: ESOC Control -> write 0000000Ah to address 000eh
1237
#    Time: 6280 ns  Iteration: 0  Instance: /esoc_tb
1238
# ** Note: ESOC Control -> write 00000000h to address 003ah
1239
#    Time: 6600 ns  Iteration: 0  Instance: /esoc_tb
1240
# ** Note: ESOC Control -> write 00000000h to address 003bh
1241
#    Time: 6920 ns  Iteration: 0  Instance: /esoc_tb
1242
# ** Note: ESOC Control -> read from address 0002h 0400805Bh, expected 0400005Bh, status: ERROR
1243
#    Time: 7260 ns  Iteration: 0  Instance: /esoc_tb
1244
# ** Note: ESOC Control -> read from address 0003h 00022017h, expected 0400005Bh, status: ERROR
1245
#    Time: 7600 ns  Iteration: 0  Instance: /esoc_tb
1246
# ** Note: ESOC Control -> read from address 0004h 00002017h, expected 0400005Bh, status: ERROR
1247
#    Time: 7940 ns  Iteration: 0  Instance: /esoc_tb
1248
# ** Note: ESOC Control -> read from address 0005h 000005EEh, expected 000005EEh, status: OK
1249
#    Time: 8280 ns  Iteration: 0  Instance: /esoc_tb
1250
# ** Note: ESOC Control -> read from address 0006h 0000000Fh, expected 0000000Fh, status: OK
1251
#    Time: 8620 ns  Iteration: 0  Instance: /esoc_tb
1252
# ** Note: ESOC Control -> read from address 0007h 00000000h, expected 00000400h, status: ERROR
1253
#    Time: 8960 ns  Iteration: 0  Instance: /esoc_tb
1254
# ** Note: ESOC Control -> read from address 0008h 00000010h, expected 00000000h, status: ERROR
1255
#    Time: 9300 ns  Iteration: 0  Instance: /esoc_tb
1256
# ** Note: ESOC Control -> read from address 0009h 00000010h, expected 000007F8h, status: ERROR
1257
#    Time: 9640 ns  Iteration: 0  Instance: /esoc_tb
1258
# ** Note: ESOC Control -> read from address 000ah 00000010h, expected 00000000h, status: ERROR
1259
#    Time: 9980 ns  Iteration: 0  Instance: /esoc_tb
1260
run
1261
# ** Note: ESOC Control -> read from address 000bh 00000008h, expected 00000008h, status: OK
1262
#    Time: 10320 ns  Iteration: 0  Instance: /esoc_tb
1263
# ** Note: ESOC Control -> read from address 000ch 00000008h, expected 00000008h, status: OK
1264
#    Time: 10660 ns  Iteration: 0  Instance: /esoc_tb
1265
# ** Note: ESOC Control -> read from address 000dh 00000008h, expected 00000008h, status: OK
1266
#    Time: 11 us  Iteration: 0  Instance: /esoc_tb
1267
# ** Note: ESOC Control -> read from address 000eh 0000000Ah, expected 00000003h, status: ERROR
1268
#    Time: 11340 ns  Iteration: 0  Instance: /esoc_tb
1269
# ** Note: ESOC Control -> read from address 0017h 0000000Ch, expected 0000000Ch, status: OK
1270
#    Time: 11680 ns  Iteration: 0  Instance: /esoc_tb
1271
# ** Note: ESOC Control -> read from address 003ah 00000000h, expected 00000000h, status: OK
1272
#    Time: 12020 ns  Iteration: 0  Instance: /esoc_tb
1273
# ** Note: ESOC Control -> read from address 003bh 00000000h, expected 00000000h, status: OK
1274
#    Time: 12360 ns  Iteration: 0  Instance: /esoc_tb
1275
# ** Note: ESOC Control -> write 00000001h to address ff00h
1276
#    Time: 12650 ns  Iteration: 0  Instance: /esoc_tb
1277
# ** Note: ESOC Control -> read from address ff00h 00000001h, expected 00000001h, status: OK
1278
#    Time: 12740 ns  Iteration: 0  Instance: /esoc_tb
1279
# ** Note: ESOC Control -> read from address 001bh 00000000h, expected 00000002h, status: ERROR
1280
#    Time: 16940 ns  Iteration: 0  Instance: /esoc_tb
1281
# ** Note: ESOC Control -> read from address 001ch 00000000h, expected 00000000h, status: OK
1282
#    Time: 17340 ns  Iteration: 0  Instance: /esoc_tb
1283
# ** Note: ESOC Control -> read from address 001dh 00000005h, expected 00000000h, status: ERROR
1284
#    Time: 17740 ns  Iteration: 0  Instance: /esoc_tb
1285
# ** Note: ESOC Control -> read from address 001fh 00000000h, expected 00000070h, status: ERROR
1286
#    Time: 18140 ns  Iteration: 0  Instance: /esoc_tb
1287
# ** Note: ESOC Control -> read from address 001ah 00000001h, expected 00000002h, status: ERROR
1288
#    Time: 18540 ns  Iteration: 0  Instance: /esoc_tb
1289
# ** Note: ESOC Control -> read from address 001eh 00003FF3h, expected 00000000h, status: ERROR
1290
#    Time: 18940 ns  Iteration: 0  Instance: /esoc_tb
1291
# ** Note: ESOC Control -> end of stimuli for control interface
1292
#    Time: 19140 ns  Iteration: 1  Instance: /esoc_tb
1293
restart
1294
# ** Warning: (vsim-WLF-5000) Waveform log file vsim.wlf currently in use.
1295
# File in use by: Saskia & Bert  Hostname: NETBOOK  ProcessID: 2492
1296
#           Attempting to use alternate file "./wlfts19s1w".
1297
# ** Warning: (vsim-WLF-5001) Could not open waveform log file vsim.wlf.  Using ./wlfts19s1w instead.
1298
run
1299
# ** Note: Cyclone III PLL locked to incoming clock
1300
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u1/altpll_component/cycloneiii_altpll/m5
1301
# ** Note: Cyclone III PLL locked to incoming clock
1302
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u3/altpll_component/cycloneiii_altpll/m5
1303
# ** Note: ESOC Reset -> reset released
1304
#    Time: 1 us  Iteration: 0  Instance: /esoc_tb
1305
# ** Note: ESOC RGMII Preprocessing -> start of processing stimuli for RGMII interfaces
1306
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1307
# ** Note: ESOC RGMII Preprocessing -> packet with length of 86 bytes written to port 00
1308
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1309
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0030 pstart: 0x00 crc: 0x110B2B50 ipg: 0x000C
1310
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1311
# ** Note: ESOC RGMII Preprocessing -> packet with length of 102 bytes written to port 00
1312
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1313
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0040 pstart: 0x00 crc: 0x0CBF189D ipg: 0x000C
1314
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1315
# ** Note: ESOC RGMII Preprocessing -> end of processing stimuli for RGMII interfaces
1316
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1317
# ** Note: ESOC RGMII Transmit -> start of transmitting stimuli to RGMII interfaces
1318
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1319
# ** Note: ESOC Control -> generate read/write cycles on control interface
1320
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1321
# ** Note: ESOC Control -> write 0400805Bh to address 0002h
1322
#    Time: 2120 ns  Iteration: 0  Instance: /esoc_tb
1323
# ** Note: ESOC Control -> write 00022017h to address 0003h
1324
#    Time: 2440 ns  Iteration: 0  Instance: /esoc_tb
1325
# ** Note: ESOC Control -> write 00022017h to address 0004h
1326
#    Time: 2760 ns  Iteration: 0  Instance: /esoc_tb
1327
# ** Note: ESOC Control -> write 0000000Ch to address 0017h
1328
#    Time: 3080 ns  Iteration: 0  Instance: /esoc_tb
1329
# ** Note: ESOC Control -> write 000005EEh to address 0005h
1330
#    Time: 3400 ns  Iteration: 0  Instance: /esoc_tb
1331
# ** Note: ESOC Control -> write 0000000Fh to address 0006h
1332
#    Time: 3720 ns  Iteration: 0  Instance: /esoc_tb
1333
# ** Note: ESOC Control -> write 00000000h to address 0007h
1334
#    Time: 4040 ns  Iteration: 0  Instance: /esoc_tb
1335
# ** Note: ESOC Control -> write 00000010h to address 0008h
1336
#    Time: 4360 ns  Iteration: 0  Instance: /esoc_tb
1337
# ** Note: ESOC Control -> write 00000010h to address 0009h
1338
#    Time: 4680 ns  Iteration: 0  Instance: /esoc_tb
1339
# ** Note: ESOC Control -> write 00000010h to address 000ah
1340
#    Time: 5 us  Iteration: 0  Instance: /esoc_tb
1341
# ** Note: ESOC Control -> write 00000008h to address 000bh
1342
#    Time: 5320 ns  Iteration: 0  Instance: /esoc_tb
1343
# ** Note: ESOC Control -> write 00000008h to address 000ch
1344
#    Time: 5640 ns  Iteration: 0  Instance: /esoc_tb
1345
# ** Note: ESOC Control -> write 00000008h to address 000dh
1346
#    Time: 5960 ns  Iteration: 0  Instance: /esoc_tb
1347
# ** Note: ESOC Control -> write 0000000Ah to address 000eh
1348
#    Time: 6280 ns  Iteration: 0  Instance: /esoc_tb
1349
# ** Note: ESOC Control -> write 00000000h to address 003ah
1350
#    Time: 6600 ns  Iteration: 0  Instance: /esoc_tb
1351
# ** Note: ESOC Control -> write 00000000h to address 003bh
1352
#    Time: 6920 ns  Iteration: 0  Instance: /esoc_tb
1353
# ** Note: ESOC Control -> read from address 0002h 0400805Bh, expected 0400005Bh, status: ERROR
1354
#    Time: 7260 ns  Iteration: 0  Instance: /esoc_tb
1355
# ** Note: ESOC Control -> read from address 0003h 00022017h, expected 0400005Bh, status: ERROR
1356
#    Time: 7600 ns  Iteration: 0  Instance: /esoc_tb
1357
# ** Note: ESOC Control -> read from address 0004h 00002017h, expected 0400005Bh, status: ERROR
1358
#    Time: 7940 ns  Iteration: 0  Instance: /esoc_tb
1359
# ** Note: ESOC Control -> read from address 0005h 000005EEh, expected 000005EEh, status: OK
1360
#    Time: 8280 ns  Iteration: 0  Instance: /esoc_tb
1361
# ** Note: ESOC Control -> read from address 0006h 0000000Fh, expected 0000000Fh, status: OK
1362
#    Time: 8620 ns  Iteration: 0  Instance: /esoc_tb
1363
# ** Note: ESOC Control -> read from address 0007h 00000000h, expected 00000400h, status: ERROR
1364
#    Time: 8960 ns  Iteration: 0  Instance: /esoc_tb
1365
# ** Note: ESOC Control -> read from address 0008h 00000010h, expected 00000000h, status: ERROR
1366
#    Time: 9300 ns  Iteration: 0  Instance: /esoc_tb
1367
# ** Note: ESOC Control -> read from address 0009h 00000010h, expected 000007F8h, status: ERROR
1368
#    Time: 9640 ns  Iteration: 0  Instance: /esoc_tb
1369
# ** Note: ESOC Control -> read from address 000ah 00000010h, expected 00000000h, status: ERROR
1370
#    Time: 9980 ns  Iteration: 0  Instance: /esoc_tb
1371
run
1372
# ** Note: ESOC Control -> read from address 000bh 00000008h, expected 00000008h, status: OK
1373
#    Time: 10320 ns  Iteration: 0  Instance: /esoc_tb
1374
# ** Note: ESOC Control -> read from address 000ch 00000008h, expected 00000008h, status: OK
1375
#    Time: 10660 ns  Iteration: 0  Instance: /esoc_tb
1376
# ** Note: ESOC Control -> read from address 000dh 00000008h, expected 00000008h, status: OK
1377
#    Time: 11 us  Iteration: 0  Instance: /esoc_tb
1378
# ** Note: ESOC Control -> read from address 000eh 0000000Ah, expected 00000003h, status: ERROR
1379
#    Time: 11340 ns  Iteration: 0  Instance: /esoc_tb
1380
# ** Note: ESOC Control -> read from address 0017h 0000000Ch, expected 0000000Ch, status: OK
1381
#    Time: 11680 ns  Iteration: 0  Instance: /esoc_tb
1382
# ** Note: ESOC Control -> read from address 003ah 00000000h, expected 00000000h, status: OK
1383
#    Time: 12020 ns  Iteration: 0  Instance: /esoc_tb
1384
# ** Note: ESOC Control -> read from address 003bh 00000000h, expected 00000000h, status: OK
1385
#    Time: 12360 ns  Iteration: 0  Instance: /esoc_tb
1386
# ** Note: ESOC Control -> write 00000001h to address ff00h
1387
#    Time: 12650 ns  Iteration: 0  Instance: /esoc_tb
1388
# ** Note: ESOC Control -> read from address ff00h 00000001h, expected 00000001h, status: OK
1389
#    Time: 12740 ns  Iteration: 0  Instance: /esoc_tb
1390
# ** Note: ESOC Control -> read from address 001bh 00000000h, expected 00000002h, status: ERROR
1391
#    Time: 16940 ns  Iteration: 0  Instance: /esoc_tb
1392
# ** Note: ESOC Control -> read from address 001ch 00000000h, expected 00000000h, status: OK
1393
#    Time: 17340 ns  Iteration: 0  Instance: /esoc_tb
1394
# ** Note: ESOC Control -> read from address 001dh 00000005h, expected 00000000h, status: ERROR
1395
#    Time: 17740 ns  Iteration: 0  Instance: /esoc_tb
1396
# ** Note: ESOC Control -> read from address 001fh 00000000h, expected 00000070h, status: ERROR
1397
#    Time: 18140 ns  Iteration: 0  Instance: /esoc_tb
1398
# ** Note: ESOC Control -> read from address 001ah 00000001h, expected 00000002h, status: ERROR
1399
#    Time: 18540 ns  Iteration: 0  Instance: /esoc_tb
1400
# ** Note: ESOC Control -> read from address 001eh 00003FF3h, expected 00000000h, status: ERROR
1401
#    Time: 18940 ns  Iteration: 0  Instance: /esoc_tb
1402
# ** Note: ESOC Control -> end of stimuli for control interface
1403
#    Time: 19140 ns  Iteration: 1  Instance: /esoc_tb
1404
write format wave -window .main_pane.mdi.interior.cs.vm.paneset.cli_0.wf.clip.cs.pw.wf {C:/data/temp/1. eSoc/3. Simulation/3. Waves/test_wave_4.do}
1405
do run.do 15 4 4
1406
# 3. Simulation/0. Logs
1407
# 3. Simulation/1. Scripts
1408
# 3. Simulation/3. Waves
1409
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1410
# -- Loading package standard
1411
# -- Loading package std_logic_1164
1412
# -- Loading package textio
1413
# -- Loading package numeric_std
1414
# -- Loading package pck_crc32_d8
1415
# -- Loading package esoc_configuration
1416
# -- Loading package package_txt_utilities
1417
# -- Compiling entity esoc_tb
1418
# -- Compiling architecture esoc_tb of esoc_tb
1419
# vsim -t ps -novopt work.esoc_tb
1420
# Loading std.standard
1421
# Loading ieee.std_logic_1164(body)
1422
# Loading std.textio(body)
1423
# Loading ieee.numeric_std(body)
1424
# Loading work.pck_crc32_d8(body)
1425
# Loading work.esoc_configuration(body)
1426
# Loading work.package_txt_utilities(body)
1427
# Loading work.esoc_tb(esoc_tb)
1428
# Loading work.esoc(structure)
1429
# Loading work.esoc_port(esoc_port)
1430
# Loading work.esoc_port_interface(structure)
1431
# Loading work.esoc_port_mal(port_mal)
1432
# Loading work.esoc_port_mal_control(esoc_port_mal_control)
1433
# Loading work.esoc_port_mal_inbound(esoc_port_mal_inbound)
1434
# Loading work.esoc_port_mal_outbound(esoc_port_mal_outbound)
1435
# Loading work.esoc_port_mal_clock(esoc_port_mal_clock)
1436
# Loading altera_mf.altera_mf_components
1437
# Loading sgate.sgate_pack(body)
1438
# Loading work.esoc_port_mac(rtl)
1439
# Loading ieee.std_logic_arith(body)
1440
# Loading ieee.std_logic_unsigned(body)
1441
# Loading altera_mf.altera_device_families(body)
1442
# Loading altera_mf.altera_common_conversion(body)
1443
# Loading altera_mf.altera_mf_hint_evaluation(body)
1444
# Loading altera_mf.alt3pram(behavior)
1445
# Loading altera_mf.altsyncram(translated)
1446
# Loading altera_mf.altddio_in(behave)
1447
# Loading altera_mf.altddio_out(behave)
1448
# Loading altera_mf.altshift_taps(behavioural)
1449
# Loading ieee.std_logic_signed(body)
1450
# Loading sgate.oper_add(sim_arch)
1451
# Loading sgate.oper_decoder(sim_arch)
1452
# Loading sgate.oper_less_than(sim_arch)
1453
# Loading sgate.oper_mux(sim_arch)
1454
# Loading sgate.oper_selector(sim_arch)
1455
# Loading work.esoc_port_processor(structure)
1456
# Loading work.esoc_control(esoc_control)
1457
# Loading work.esoc_reset(esoc_reset)
1458
# Loading work.esoc_db_arbiter(esoc_db_arbiter)
1459
# Loading work.esoc_search_engine(esoc_search)
1460
# Loading work.esoc_pll1_c3(syn)
1461
# Loading altera_mf.mf_pllpack(body)
1462
# Loading altera_mf.altpll(behavior)
1463
# Loading altera_mf.mf_cycloneiii_pll(vital_pll)
1464
# Loading altera_mf.mf_cda_mn_cntr(behave)
1465
# Loading altera_mf.mf_cda_scale_cntr(behave)
1466
# Loading work.esoc_pll2_c3(syn)
1467
# ** Warning: (vsim-WLF-5000) Waveform log file vsim.wlf currently in use.
1468
# File in use by: Saskia & Bert  Hostname: NETBOOK  ProcessID: 2492
1469
#           Attempting to use alternate file "./wlfthg9ah2".
1470
# ** Warning: (vsim-WLF-5001) Could not open waveform log file vsim.wlf.  Using ./wlfthg9ah2 instead.
1471
# ** Note: Cyclone III PLL locked to incoming clock
1472
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u1/altpll_component/cycloneiii_altpll/m5
1473
# ** Note: Cyclone III PLL locked to incoming clock
1474
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u3/altpll_component/cycloneiii_altpll/m5
1475
# ** Note: ESOC Reset -> reset released
1476
#    Time: 1 us  Iteration: 0  Instance: /esoc_tb
1477
# ** Note: ESOC RGMII Preprocessing -> start of processing stimuli for RGMII interfaces
1478
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1479
# ** Note: ESOC RGMII Preprocessing -> packet with length of 86 bytes written to port 00
1480
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1481
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0030 pstart: 0x00 crc: 0x110B2B50 ipg: 0x000C
1482
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1483
# ** Note: ESOC RGMII Preprocessing -> packet with length of 102 bytes written to port 00
1484
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1485
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0040 pstart: 0x00 crc: 0x0CBF189D ipg: 0x000C
1486
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1487
# ** Note: ESOC RGMII Preprocessing -> end of processing stimuli for RGMII interfaces
1488
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1489
# ** Note: ESOC RGMII Transmit -> start of transmitting stimuli to RGMII interfaces
1490
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1491
# ** Note: ESOC Control -> generate read/write cycles on control interface
1492
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1493
# ** Note: ESOC Control -> write 0400805Bh to address 0002h
1494
#    Time: 2120 ns  Iteration: 0  Instance: /esoc_tb
1495
# ** Note: ESOC Control -> write 00022017h to address 0003h
1496
#    Time: 2440 ns  Iteration: 0  Instance: /esoc_tb
1497
# ** Note: ESOC Control -> write 00022017h to address 0004h
1498
#    Time: 2760 ns  Iteration: 0  Instance: /esoc_tb
1499
# ** Note: ESOC Control -> write 0000000Ch to address 0017h
1500
#    Time: 3080 ns  Iteration: 0  Instance: /esoc_tb
1501
# ** Note: ESOC Control -> write 000005EEh to address 0005h
1502
#    Time: 3400 ns  Iteration: 0  Instance: /esoc_tb
1503
# ** Note: ESOC Control -> write 0000000Fh to address 0006h
1504
#    Time: 3720 ns  Iteration: 0  Instance: /esoc_tb
1505
# ** Note: ESOC Control -> write 00000000h to address 0007h
1506
#    Time: 4040 ns  Iteration: 0  Instance: /esoc_tb
1507
# ** Note: ESOC Control -> write 00000010h to address 0008h
1508
#    Time: 4360 ns  Iteration: 0  Instance: /esoc_tb
1509
# ** Note: ESOC Control -> write 00000010h to address 0009h
1510
#    Time: 4680 ns  Iteration: 0  Instance: /esoc_tb
1511
# ** Note: ESOC Control -> write 00000010h to address 000ah
1512
#    Time: 5 us  Iteration: 0  Instance: /esoc_tb
1513
# ** Note: ESOC Control -> write 00000008h to address 000bh
1514
#    Time: 5320 ns  Iteration: 0  Instance: /esoc_tb
1515
# ** Note: ESOC Control -> write 00000008h to address 000ch
1516
#    Time: 5640 ns  Iteration: 0  Instance: /esoc_tb
1517
# ** Note: ESOC Control -> write 00000008h to address 000dh
1518
#    Time: 5960 ns  Iteration: 0  Instance: /esoc_tb
1519
# ** Note: ESOC Control -> write 0000000Ah to address 000eh
1520
#    Time: 6280 ns  Iteration: 0  Instance: /esoc_tb
1521
# ** Note: ESOC Control -> write 00000000h to address 003ah
1522
#    Time: 6600 ns  Iteration: 0  Instance: /esoc_tb
1523
# ** Note: ESOC Control -> write 00000000h to address 003bh
1524
#    Time: 6920 ns  Iteration: 0  Instance: /esoc_tb
1525
# ** Note: ESOC Control -> read from address 0002h 0400805Bh, expected 0400005Bh, status: ERROR
1526
#    Time: 7260 ns  Iteration: 0  Instance: /esoc_tb
1527
# ** Note: ESOC Control -> read from address 0003h 00022017h, expected 0400005Bh, status: ERROR
1528
#    Time: 7600 ns  Iteration: 0  Instance: /esoc_tb
1529
# ** Note: ESOC Control -> read from address 0004h 00002017h, expected 0400005Bh, status: ERROR
1530
#    Time: 7940 ns  Iteration: 0  Instance: /esoc_tb
1531
# ** Note: ESOC Control -> read from address 0005h 000005EEh, expected 000005EEh, status: OK
1532
#    Time: 8280 ns  Iteration: 0  Instance: /esoc_tb
1533
# ** Note: ESOC Control -> read from address 0006h 0000000Fh, expected 0000000Fh, status: OK
1534
#    Time: 8620 ns  Iteration: 0  Instance: /esoc_tb
1535
# ** Note: ESOC Control -> read from address 0007h 00000000h, expected 00000400h, status: ERROR
1536
#    Time: 8960 ns  Iteration: 0  Instance: /esoc_tb
1537
# ** Note: ESOC Control -> read from address 0008h 00000010h, expected 00000000h, status: ERROR
1538
#    Time: 9300 ns  Iteration: 0  Instance: /esoc_tb
1539
# ** Note: ESOC Control -> read from address 0009h 00000010h, expected 000007F8h, status: ERROR
1540
#    Time: 9640 ns  Iteration: 0  Instance: /esoc_tb
1541
# ** Note: ESOC Control -> read from address 000ah 00000010h, expected 00000000h, status: ERROR
1542
#    Time: 9980 ns  Iteration: 0  Instance: /esoc_tb
1543
# ** Note: ESOC Control -> read from address 000bh 00000008h, expected 00000008h, status: OK
1544
#    Time: 10320 ns  Iteration: 0  Instance: /esoc_tb
1545
# ** Note: ESOC Control -> read from address 000ch 00000008h, expected 00000008h, status: OK
1546
#    Time: 10660 ns  Iteration: 0  Instance: /esoc_tb
1547
# ** Note: ESOC Control -> read from address 000dh 00000008h, expected 00000008h, status: OK
1548
#    Time: 11 us  Iteration: 0  Instance: /esoc_tb
1549
# ** Note: ESOC Control -> read from address 000eh 0000000Ah, expected 00000003h, status: ERROR
1550
#    Time: 11340 ns  Iteration: 0  Instance: /esoc_tb
1551
# ** Note: ESOC Control -> read from address 0017h 0000000Ch, expected 0000000Ch, status: OK
1552
#    Time: 11680 ns  Iteration: 0  Instance: /esoc_tb
1553
# ** Note: ESOC Control -> read from address 003ah 00000000h, expected 00000000h, status: OK
1554
#    Time: 12020 ns  Iteration: 0  Instance: /esoc_tb
1555
# ** Note: ESOC Control -> read from address 003bh 00000000h, expected 00000000h, status: OK
1556
#    Time: 12360 ns  Iteration: 0  Instance: /esoc_tb
1557
# ** Note: ESOC Control -> write 00000001h to address ff00h
1558
#    Time: 12650 ns  Iteration: 0  Instance: /esoc_tb
1559
# ** Note: ESOC Control -> read from address ff00h 00000001h, expected 00000001h, status: OK
1560
#    Time: 12740 ns  Iteration: 0  Instance: /esoc_tb
1561
write format wave -window .main_pane.mdi.interior.cs.vm.paneset.cli_0.wf.clip.cs.pw.wf {C:/data/temp/1. eSoc/3. Simulation/3. Waves/test_wave_4.do}
1562
do run.do 15 4 4
1563
# 3. Simulation/0. Logs
1564
# 3. Simulation/1. Scripts
1565
# 3. Simulation/3. Waves
1566
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1567
# -- Loading package standard
1568
# -- Loading package std_logic_1164
1569
# -- Loading package textio
1570
# -- Loading package numeric_std
1571
# -- Loading package pck_crc32_d8
1572
# -- Loading package esoc_configuration
1573
# -- Loading package package_txt_utilities
1574
# -- Compiling entity esoc_tb
1575
# -- Compiling architecture esoc_tb of esoc_tb
1576
# vsim -t ps -novopt work.esoc_tb
1577
# Loading std.standard
1578
# Loading ieee.std_logic_1164(body)
1579
# Loading std.textio(body)
1580
# Loading ieee.numeric_std(body)
1581
# Loading work.pck_crc32_d8(body)
1582
# Loading work.esoc_configuration(body)
1583
# Loading work.package_txt_utilities(body)
1584
# Loading work.esoc_tb(esoc_tb)
1585
# Loading work.esoc(structure)
1586
# Loading work.esoc_port(esoc_port)
1587
# Loading work.esoc_port_interface(structure)
1588
# Loading work.esoc_port_mal(port_mal)
1589
# Loading work.esoc_port_mal_control(esoc_port_mal_control)
1590
# Loading work.esoc_port_mal_inbound(esoc_port_mal_inbound)
1591
# Loading work.esoc_port_mal_outbound(esoc_port_mal_outbound)
1592
# Loading work.esoc_port_mal_clock(esoc_port_mal_clock)
1593
# Loading altera_mf.altera_mf_components
1594
# Loading sgate.sgate_pack(body)
1595
# Loading work.esoc_port_mac(rtl)
1596
# Loading ieee.std_logic_arith(body)
1597
# Loading ieee.std_logic_unsigned(body)
1598
# Loading altera_mf.altera_device_families(body)
1599
# Loading altera_mf.altera_common_conversion(body)
1600
# Loading altera_mf.altera_mf_hint_evaluation(body)
1601
# Loading altera_mf.alt3pram(behavior)
1602
# Loading altera_mf.altsyncram(translated)
1603
# Loading altera_mf.altddio_in(behave)
1604
# Loading altera_mf.altddio_out(behave)
1605
# Loading altera_mf.altshift_taps(behavioural)
1606
# Loading ieee.std_logic_signed(body)
1607
# Loading sgate.oper_add(sim_arch)
1608
# Loading sgate.oper_decoder(sim_arch)
1609
# Loading sgate.oper_less_than(sim_arch)
1610
# Loading sgate.oper_mux(sim_arch)
1611
# Loading sgate.oper_selector(sim_arch)
1612
# Loading work.esoc_port_processor(structure)
1613
# Loading work.esoc_control(esoc_control)
1614
# Loading work.esoc_reset(esoc_reset)
1615
# Loading work.esoc_db_arbiter(esoc_db_arbiter)
1616
# Loading work.esoc_search_engine(esoc_search)
1617
# Loading work.esoc_pll1_c3(syn)
1618
# Loading altera_mf.mf_pllpack(body)
1619
# Loading altera_mf.altpll(behavior)
1620
# Loading altera_mf.mf_cycloneiii_pll(vital_pll)
1621
# Loading altera_mf.mf_cda_mn_cntr(behave)
1622
# Loading altera_mf.mf_cda_scale_cntr(behave)
1623
# Loading work.esoc_pll2_c3(syn)
1624
# ** Warning: (vsim-WLF-5000) Waveform log file vsim.wlf currently in use.
1625
# File in use by: Saskia & Bert  Hostname: NETBOOK  ProcessID: 2492
1626
#           Attempting to use alternate file "./wlft8ih1k0".
1627
# ** Warning: (vsim-WLF-5001) Could not open waveform log file vsim.wlf.  Using ./wlft8ih1k0 instead.
1628
# ** Note: Cyclone III PLL locked to incoming clock
1629
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u1/altpll_component/cycloneiii_altpll/m5
1630
# ** Note: Cyclone III PLL locked to incoming clock
1631
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u3/altpll_component/cycloneiii_altpll/m5
1632
# ** Note: ESOC Reset -> reset released
1633
#    Time: 1 us  Iteration: 0  Instance: /esoc_tb
1634
# ** Note: ESOC RGMII Preprocessing -> start of processing stimuli for RGMII interfaces
1635
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1636
# ** Note: ESOC RGMII Preprocessing -> packet with length of 86 bytes written to port 00
1637
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1638
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0030 pstart: 0x00 crc: 0x110B2B50 ipg: 0x000C
1639
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1640
# ** Note: ESOC RGMII Preprocessing -> packet with length of 102 bytes written to port 00
1641
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1642
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0040 pstart: 0x00 crc: 0x0CBF189D ipg: 0x000C
1643
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1644
# ** Note: ESOC RGMII Preprocessing -> end of processing stimuli for RGMII interfaces
1645
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1646
# ** Note: ESOC RGMII Transmit -> start of transmitting stimuli to RGMII interfaces
1647
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1648
# ** Note: ESOC Control -> generate read/write cycles on control interface
1649
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1650
# ** Note: ESOC Control -> write 0400005Bh to address 0002h
1651
#    Time: 2120 ns  Iteration: 0  Instance: /esoc_tb
1652
# ** Note: ESOC Control -> write 00022017h to address 0003h
1653
#    Time: 2440 ns  Iteration: 0  Instance: /esoc_tb
1654
# ** Note: ESOC Control -> write 00022017h to address 0004h
1655
#    Time: 2760 ns  Iteration: 0  Instance: /esoc_tb
1656
# ** Note: ESOC Control -> write 0000000Ch to address 0017h
1657
#    Time: 3080 ns  Iteration: 0  Instance: /esoc_tb
1658
# ** Note: ESOC Control -> write 000005EEh to address 0005h
1659
#    Time: 3400 ns  Iteration: 0  Instance: /esoc_tb
1660
# ** Note: ESOC Control -> write 0000000Fh to address 0006h
1661
#    Time: 3720 ns  Iteration: 0  Instance: /esoc_tb
1662
# ** Note: ESOC Control -> write 00000000h to address 0007h
1663
#    Time: 4040 ns  Iteration: 0  Instance: /esoc_tb
1664
# ** Note: ESOC Control -> write 00000010h to address 0008h
1665
#    Time: 4360 ns  Iteration: 0  Instance: /esoc_tb
1666
# ** Note: ESOC Control -> write 00000010h to address 0009h
1667
#    Time: 4680 ns  Iteration: 0  Instance: /esoc_tb
1668
# ** Note: ESOC Control -> write 00000010h to address 000ah
1669
#    Time: 5 us  Iteration: 0  Instance: /esoc_tb
1670
# ** Note: ESOC Control -> write 00000008h to address 000bh
1671
#    Time: 5320 ns  Iteration: 0  Instance: /esoc_tb
1672
# ** Note: ESOC Control -> write 00000008h to address 000ch
1673
#    Time: 5640 ns  Iteration: 0  Instance: /esoc_tb
1674
# ** Note: ESOC Control -> write 00000008h to address 000dh
1675
#    Time: 5960 ns  Iteration: 0  Instance: /esoc_tb
1676
# ** Note: ESOC Control -> write 0000000Ah to address 000eh
1677
#    Time: 6280 ns  Iteration: 0  Instance: /esoc_tb
1678
# ** Note: ESOC Control -> write 00000000h to address 003ah
1679
#    Time: 6600 ns  Iteration: 0  Instance: /esoc_tb
1680
# ** Note: ESOC Control -> write 00000000h to address 003bh
1681
#    Time: 6920 ns  Iteration: 0  Instance: /esoc_tb
1682
# ** Note: ESOC Control -> read from address 0002h 0400005Bh, expected 0400005Bh, status: OK
1683
#    Time: 7260 ns  Iteration: 0  Instance: /esoc_tb
1684
# ** Note: ESOC Control -> read from address 0003h 00022017h, expected 0400005Bh, status: ERROR
1685
#    Time: 7600 ns  Iteration: 0  Instance: /esoc_tb
1686
# ** Note: ESOC Control -> read from address 0004h 00002017h, expected 0400005Bh, status: ERROR
1687
#    Time: 7940 ns  Iteration: 0  Instance: /esoc_tb
1688
# ** Note: ESOC Control -> read from address 0005h 000005EEh, expected 000005EEh, status: OK
1689
#    Time: 8280 ns  Iteration: 0  Instance: /esoc_tb
1690
# ** Note: ESOC Control -> read from address 0006h 0000000Fh, expected 0000000Fh, status: OK
1691
#    Time: 8620 ns  Iteration: 0  Instance: /esoc_tb
1692
# ** Note: ESOC Control -> read from address 0007h 00000000h, expected 00000400h, status: ERROR
1693
#    Time: 8960 ns  Iteration: 0  Instance: /esoc_tb
1694
# ** Note: ESOC Control -> read from address 0008h 00000010h, expected 00000000h, status: ERROR
1695
#    Time: 9300 ns  Iteration: 0  Instance: /esoc_tb
1696
# ** Note: ESOC Control -> read from address 0009h 00000010h, expected 000007F8h, status: ERROR
1697
#    Time: 9640 ns  Iteration: 0  Instance: /esoc_tb
1698
# ** Note: ESOC Control -> read from address 000ah 00000010h, expected 00000000h, status: ERROR
1699
#    Time: 9980 ns  Iteration: 0  Instance: /esoc_tb
1700
# ** Note: ESOC Control -> read from address 000bh 00000008h, expected 00000008h, status: OK
1701
#    Time: 10320 ns  Iteration: 0  Instance: /esoc_tb
1702
# ** Note: ESOC Control -> read from address 000ch 00000008h, expected 00000008h, status: OK
1703
#    Time: 10660 ns  Iteration: 0  Instance: /esoc_tb
1704
# ** Note: ESOC Control -> read from address 000dh 00000008h, expected 00000008h, status: OK
1705
#    Time: 11 us  Iteration: 0  Instance: /esoc_tb
1706
# ** Note: ESOC Control -> read from address 000eh 0000000Ah, expected 00000003h, status: ERROR
1707
#    Time: 11340 ns  Iteration: 0  Instance: /esoc_tb
1708
# ** Note: ESOC Control -> read from address 0017h 0000000Ch, expected 0000000Ch, status: OK
1709
#    Time: 11680 ns  Iteration: 0  Instance: /esoc_tb
1710
# ** Note: ESOC Control -> read from address 003ah 00000000h, expected 00000000h, status: OK
1711
#    Time: 12020 ns  Iteration: 0  Instance: /esoc_tb
1712
# ** Note: ESOC Control -> read from address 003bh 00000000h, expected 00000000h, status: OK
1713
#    Time: 12360 ns  Iteration: 0  Instance: /esoc_tb
1714
# ** Note: ESOC Control -> write 00000001h to address ff00h
1715
#    Time: 12650 ns  Iteration: 0  Instance: /esoc_tb
1716
# ** Note: ESOC Control -> read from address ff00h 00000001h, expected 00000001h, status: OK
1717
#    Time: 12740 ns  Iteration: 0  Instance: /esoc_tb
1718
do build.do
1719
# c:/data/temp/1. eSoc
1720
# 2. Sources/altera
1721
# 2. Sources/esoc.ews/design.hdl
1722
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1723
# -- Loading package standard
1724
# -- Loading package std_logic_1164
1725
# -- Loading package textio
1726
# -- Loading package numeric_std
1727
# -- Compiling package package_txt_utilities
1728
# -- Compiling package body package_txt_utilities
1729
# -- Loading package package_txt_utilities
1730
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1731
# -- Loading package standard
1732
# -- Loading package std_logic_1164
1733
# -- Compiling package pck_crc32_d8
1734
# -- Compiling package body pck_crc32_d8
1735
# -- Loading package pck_crc32_d8
1736
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1737
# -- Loading package standard
1738
# -- Loading package std_logic_1164
1739
# -- Loading package textio
1740
# -- Loading package numeric_std
1741
# -- Compiling package esoc_configuration
1742
# -- Compiling package body esoc_configuration
1743
# -- Loading package esoc_configuration
1744
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1745
# -- Loading package standard
1746
# -- Loading package std_logic_1164
1747
# -- Loading package textio
1748
# -- Loading package numeric_std
1749
# -- Loading package esoc_configuration
1750
# -- Compiling entity esoc_control
1751
# -- Compiling architecture esoc_control of esoc_control
1752
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1753
# -- Loading package standard
1754
# -- Loading package std_logic_1164
1755
# -- Loading package textio
1756
# -- Loading package numeric_std
1757
# -- Loading package esoc_configuration
1758
# -- Compiling entity esoc_db_arbiter
1759
# -- Compiling architecture esoc_db_arbiter of esoc_db_arbiter
1760
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1761
# -- Loading package standard
1762
# -- Loading package std_logic_1164
1763
# -- Loading package textio
1764
# -- Loading package numeric_std
1765
# -- Loading package esoc_configuration
1766
# -- Compiling entity esoc_port_mal_clock
1767
# -- Compiling architecture esoc_port_mal_clock of esoc_port_mal_clock
1768
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1769
# -- Loading package standard
1770
# -- Loading package std_logic_1164
1771
# -- Loading package textio
1772
# -- Loading package numeric_std
1773
# -- Loading package esoc_configuration
1774
# -- Compiling entity esoc_port_mal_control
1775
# -- Compiling architecture esoc_port_mal_control of esoc_port_mal_control
1776
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1777
# -- Loading package standard
1778
# -- Loading package std_logic_1164
1779
# -- Loading package textio
1780
# -- Loading package numeric_std
1781
# -- Loading package esoc_configuration
1782
# -- Compiling entity esoc_port_mal_inbound
1783
# -- Compiling architecture esoc_port_mal_inbound of esoc_port_mal_inbound
1784
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1785
# -- Loading package standard
1786
# -- Loading package std_logic_1164
1787
# -- Loading package textio
1788
# -- Loading package numeric_std
1789
# -- Loading package esoc_configuration
1790
# -- Compiling entity esoc_port_mal_outbound
1791
# -- Compiling architecture esoc_port_mal_outbound of esoc_port_mal_outbound
1792
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1793
# -- Loading package standard
1794
# -- Loading package std_logic_1164
1795
# -- Loading package textio
1796
# -- Loading package numeric_std
1797
# -- Loading package esoc_configuration
1798
# -- Compiling entity esoc_port_mal
1799
# -- Compiling architecture port_mal of esoc_port_mal
1800
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1801
# -- Loading package standard
1802
# -- Loading package std_logic_1164
1803
# -- Loading package textio
1804
# -- Loading package numeric_std
1805
# -- Loading package esoc_configuration
1806
# -- Compiling entity esoc_port_interface
1807
# -- Compiling architecture structure of esoc_port_interface
1808
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1809
# -- Loading package standard
1810
# -- Loading package std_logic_1164
1811
# -- Loading package textio
1812
# -- Loading package numeric_std
1813
# -- Loading package esoc_configuration
1814
# -- Compiling entity esoc_port_processor
1815
# -- Compiling architecture structure of esoc_port_processor
1816
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1817
# -- Loading package standard
1818
# -- Loading package std_logic_1164
1819
# -- Loading package textio
1820
# -- Loading package numeric_std
1821
# -- Loading package esoc_configuration
1822
# -- Compiling entity esoc_port
1823
# -- Compiling architecture esoc_port of esoc_port
1824
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1825
# -- Loading package standard
1826
# -- Loading package std_logic_1164
1827
# -- Loading package textio
1828
# -- Loading package numeric_std
1829
# -- Loading package esoc_configuration
1830
# -- Compiling entity esoc_reset
1831
# -- Compiling architecture esoc_reset of esoc_reset
1832
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1833
# -- Loading package standard
1834
# -- Loading package std_logic_1164
1835
# -- Loading package textio
1836
# -- Loading package numeric_std
1837
# -- Loading package esoc_configuration
1838
# -- Compiling entity esoc_search_engine
1839
# -- Compiling architecture esoc_search of esoc_search_engine
1840
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1841
# -- Loading package standard
1842
# -- Loading package std_logic_1164
1843
# -- Loading package textio
1844
# -- Loading package numeric_std
1845
# -- Loading package esoc_configuration
1846
# -- Compiling entity esoc
1847
# -- Compiling architecture structure of esoc
1848
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1849
# -- Loading package standard
1850
# -- Loading package std_logic_1164
1851
# -- Compiling entity esoc_pll1_c3
1852
# -- Compiling architecture syn of esoc_pll1_c3
1853
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1854
# -- Loading package standard
1855
# -- Loading package std_logic_1164
1856
# -- Compiling entity esoc_pll2_c3
1857
# -- Compiling architecture syn of esoc_pll2_c3
1858
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1859
# -- Loading package standard
1860
# -- Loading package std_logic_1164
1861
# -- Loading package altera_mf_components
1862
# -- Loading package sgate_pack
1863
# -- Compiling entity esoc_port_mac
1864
# -- Compiling architecture rtl of esoc_port_mac
1865
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1866
# -- Loading package standard
1867
# -- Loading package std_logic_1164
1868
# -- Loading package textio
1869
# -- Loading package numeric_std
1870
# -- Loading package pck_crc32_d8
1871
# -- Loading package esoc_configuration
1872
# -- Loading package package_txt_utilities
1873
# -- Compiling entity esoc_tb
1874
# -- Compiling architecture esoc_tb of esoc_tb
1875
# vsim -t ps work.esoc_tb
1876
# Loading std.standard
1877
# Loading ieee.std_logic_1164(body)
1878
# Loading std.textio(body)
1879
# Loading ieee.numeric_std(body)
1880
# Loading work.pck_crc32_d8(body)
1881
# Loading work.esoc_configuration(body)
1882
# Loading work.package_txt_utilities(body)
1883
# Loading work.esoc_tb(esoc_tb)
1884
# Loading work.esoc(structure)
1885
# Loading work.esoc_port(esoc_port)
1886
# Loading work.esoc_port_interface(structure)
1887
# Loading work.esoc_port_mal(port_mal)
1888
# Loading work.esoc_port_mal_control(esoc_port_mal_control)
1889
# Loading work.esoc_port_mal_inbound(esoc_port_mal_inbound)
1890
# Loading work.esoc_port_mal_outbound(esoc_port_mal_outbound)
1891
# Loading work.esoc_port_mal_clock(esoc_port_mal_clock)
1892
# Loading altera_mf.altera_mf_components
1893
# Loading sgate.sgate_pack(body)
1894
# Loading work.esoc_port_mac(rtl)
1895
# Loading ieee.std_logic_arith(body)
1896
# Loading ieee.std_logic_unsigned(body)
1897
# Loading altera_mf.altera_device_families(body)
1898
# Loading altera_mf.altera_common_conversion(body)
1899
# Loading altera_mf.altera_mf_hint_evaluation(body)
1900
# Loading altera_mf.alt3pram(behavior)
1901
# Loading altera_mf.altsyncram(translated)
1902
# Loading altera_mf.altddio_in(behave)
1903
# Loading altera_mf.altddio_out(behave)
1904
# Loading altera_mf.altshift_taps(behavioural)
1905
# Loading ieee.std_logic_signed(body)
1906
# Loading sgate.oper_add(sim_arch)
1907
# Loading sgate.oper_decoder(sim_arch)
1908
# Loading sgate.oper_less_than(sim_arch)
1909
# Loading sgate.oper_mux(sim_arch)
1910
# Loading sgate.oper_selector(sim_arch)
1911
# Loading work.esoc_port_processor(structure)
1912
# Loading work.esoc_control(esoc_control)
1913
# Loading work.esoc_reset(esoc_reset)
1914
# Loading work.esoc_db_arbiter(esoc_db_arbiter)
1915
# Loading work.esoc_search_engine(esoc_search)
1916
# Loading work.esoc_pll1_c3(syn)
1917
# Loading altera_mf.mf_pllpack(body)
1918
# Loading altera_mf.altpll(behavior)
1919
# Loading altera_mf.mf_cycloneiii_pll(vital_pll)
1920
# Loading altera_mf.mf_cda_mn_cntr(behave)
1921
# Loading altera_mf.mf_cda_scale_cntr(behave)
1922
# Loading work.esoc_pll2_c3(syn)
1923
do run.do 15 4 4
1924
# 3. Simulation/0. Logs
1925
# 3. Simulation/1. Scripts
1926
# 3. Simulation/3. Waves
1927
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
1928
# -- Loading package standard
1929
# -- Loading package std_logic_1164
1930
# -- Loading package textio
1931
# -- Loading package numeric_std
1932
# -- Loading package pck_crc32_d8
1933
# -- Loading package esoc_configuration
1934
# -- Loading package package_txt_utilities
1935
# -- Compiling entity esoc_tb
1936
# -- Compiling architecture esoc_tb of esoc_tb
1937
# vsim -t ps -novopt work.esoc_tb
1938
# Loading std.standard
1939
# Loading ieee.std_logic_1164(body)
1940
# Loading std.textio(body)
1941
# Loading ieee.numeric_std(body)
1942
# Loading work.pck_crc32_d8(body)
1943
# Loading work.esoc_configuration(body)
1944
# Loading work.package_txt_utilities(body)
1945
# Loading work.esoc_tb(esoc_tb)
1946
# Loading work.esoc(structure)
1947
# Loading work.esoc_port(esoc_port)
1948
# Loading work.esoc_port_interface(structure)
1949
# Loading work.esoc_port_mal(port_mal)
1950
# Loading work.esoc_port_mal_control(esoc_port_mal_control)
1951
# Loading work.esoc_port_mal_inbound(esoc_port_mal_inbound)
1952
# Loading work.esoc_port_mal_outbound(esoc_port_mal_outbound)
1953
# Loading work.esoc_port_mal_clock(esoc_port_mal_clock)
1954
# Loading altera_mf.altera_mf_components
1955
# Loading sgate.sgate_pack(body)
1956
# Loading work.esoc_port_mac(rtl)
1957
# Loading ieee.std_logic_arith(body)
1958
# Loading ieee.std_logic_unsigned(body)
1959
# Loading altera_mf.altera_device_families(body)
1960
# Loading altera_mf.altera_common_conversion(body)
1961
# Loading altera_mf.altera_mf_hint_evaluation(body)
1962
# Loading altera_mf.alt3pram(behavior)
1963
# Loading altera_mf.altsyncram(translated)
1964
# Loading altera_mf.altddio_in(behave)
1965
# Loading altera_mf.altddio_out(behave)
1966
# Loading altera_mf.altshift_taps(behavioural)
1967
# Loading ieee.std_logic_signed(body)
1968
# Loading sgate.oper_add(sim_arch)
1969
# Loading sgate.oper_decoder(sim_arch)
1970
# Loading sgate.oper_less_than(sim_arch)
1971
# Loading sgate.oper_mux(sim_arch)
1972
# Loading sgate.oper_selector(sim_arch)
1973
# Loading work.esoc_port_processor(structure)
1974
# Loading work.esoc_control(esoc_control)
1975
# Loading work.esoc_reset(esoc_reset)
1976
# Loading work.esoc_db_arbiter(esoc_db_arbiter)
1977
# Loading work.esoc_search_engine(esoc_search)
1978
# Loading work.esoc_pll1_c3(syn)
1979
# Loading altera_mf.mf_pllpack(body)
1980
# Loading altera_mf.altpll(behavior)
1981
# Loading altera_mf.mf_cycloneiii_pll(vital_pll)
1982
# Loading altera_mf.mf_cda_mn_cntr(behave)
1983
# Loading altera_mf.mf_cda_scale_cntr(behave)
1984
# Loading work.esoc_pll2_c3(syn)
1985
# ** Warning: (vsim-WLF-5000) Waveform log file vsim.wlf currently in use.
1986
# File in use by: Saskia & Bert  Hostname: NETBOOK  ProcessID: 2492
1987
#           Attempting to use alternate file "./wlft9t31kz".
1988
# ** Warning: (vsim-WLF-5001) Could not open waveform log file vsim.wlf.  Using ./wlft9t31kz instead.
1989
# ** Note: Cyclone III PLL locked to incoming clock
1990
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u1/altpll_component/cycloneiii_altpll/m5
1991
# ** Note: Cyclone III PLL locked to incoming clock
1992
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u3/altpll_component/cycloneiii_altpll/m5
1993
# ** Note: ESOC Reset -> reset released
1994
#    Time: 1 us  Iteration: 0  Instance: /esoc_tb
1995
# ** Note: ESOC RGMII Preprocessing -> start of processing stimuli for RGMII interfaces
1996
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1997
# ** Note: ESOC RGMII Preprocessing -> packet with length of 86 bytes written to port 00
1998
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
1999
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0030 pstart: 0x00 crc: 0x110B2B50 ipg: 0x000C
2000
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2001
# ** Note: ESOC RGMII Preprocessing -> packet with length of 102 bytes written to port 00
2002
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2003
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0040 pstart: 0x00 crc: 0x0CBF189D ipg: 0x000C
2004
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2005
# ** Note: ESOC RGMII Preprocessing -> end of processing stimuli for RGMII interfaces
2006
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2007
# ** Note: ESOC RGMII Transmit -> start of transmitting stimuli to RGMII interfaces
2008
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2009
# ** Note: ESOC Control -> generate read/write cycles on control interface
2010
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2011
# ** Note: ESOC Control -> write 0400005Bh to address 0002h
2012
#    Time: 2120 ns  Iteration: 0  Instance: /esoc_tb
2013
# ** Note: ESOC Control -> write 00022017h to address 0003h
2014
#    Time: 2440 ns  Iteration: 0  Instance: /esoc_tb
2015
# ** Note: ESOC Control -> write 00022017h to address 0004h
2016
#    Time: 2760 ns  Iteration: 0  Instance: /esoc_tb
2017
# ** Note: ESOC Control -> write 0000000Ch to address 0017h
2018
#    Time: 3080 ns  Iteration: 0  Instance: /esoc_tb
2019
# ** Note: ESOC Control -> write 000005EEh to address 0005h
2020
#    Time: 3400 ns  Iteration: 0  Instance: /esoc_tb
2021
# ** Note: ESOC Control -> write 0000000Fh to address 0006h
2022
#    Time: 3720 ns  Iteration: 0  Instance: /esoc_tb
2023
# ** Note: ESOC Control -> write 00000000h to address 0007h
2024
#    Time: 4040 ns  Iteration: 0  Instance: /esoc_tb
2025
# ** Note: ESOC Control -> write 00000010h to address 0008h
2026
#    Time: 4360 ns  Iteration: 0  Instance: /esoc_tb
2027
# ** Note: ESOC Control -> write 00000010h to address 0009h
2028
#    Time: 4680 ns  Iteration: 0  Instance: /esoc_tb
2029
# ** Note: ESOC Control -> write 00000010h to address 000ah
2030
#    Time: 5 us  Iteration: 0  Instance: /esoc_tb
2031
# ** Note: ESOC Control -> write 00000008h to address 000bh
2032
#    Time: 5320 ns  Iteration: 0  Instance: /esoc_tb
2033
# ** Note: ESOC Control -> write 00000008h to address 000ch
2034
#    Time: 5640 ns  Iteration: 0  Instance: /esoc_tb
2035
# ** Note: ESOC Control -> write 00000008h to address 000dh
2036
#    Time: 5960 ns  Iteration: 0  Instance: /esoc_tb
2037
# ** Note: ESOC Control -> write 0000000Ah to address 000eh
2038
#    Time: 6280 ns  Iteration: 0  Instance: /esoc_tb
2039
# ** Note: ESOC Control -> write 00000000h to address 003ah
2040
#    Time: 6600 ns  Iteration: 0  Instance: /esoc_tb
2041
# ** Note: ESOC Control -> write 00000000h to address 003bh
2042
#    Time: 6920 ns  Iteration: 0  Instance: /esoc_tb
2043
# ** Note: ESOC Control -> read from address 0002h 0400005Bh, expected 0400005Bh, status: OK
2044
#    Time: 7260 ns  Iteration: 0  Instance: /esoc_tb
2045
# ** Note: ESOC Control -> read from address 0003h 00022017h, expected 0400005Bh, status: ERROR
2046
#    Time: 7600 ns  Iteration: 0  Instance: /esoc_tb
2047
# ** Note: ESOC Control -> read from address 0004h 00002017h, expected 0400005Bh, status: ERROR
2048
#    Time: 7940 ns  Iteration: 0  Instance: /esoc_tb
2049
# ** Note: ESOC Control -> read from address 0005h 000005EEh, expected 000005EEh, status: OK
2050
#    Time: 8280 ns  Iteration: 0  Instance: /esoc_tb
2051
# ** Note: ESOC Control -> read from address 0006h 0000000Fh, expected 0000000Fh, status: OK
2052
#    Time: 8620 ns  Iteration: 0  Instance: /esoc_tb
2053
# ** Note: ESOC Control -> read from address 0007h 00000000h, expected 00000400h, status: ERROR
2054
#    Time: 8960 ns  Iteration: 0  Instance: /esoc_tb
2055
# ** Note: ESOC Control -> read from address 0008h 00000010h, expected 00000000h, status: ERROR
2056
#    Time: 9300 ns  Iteration: 0  Instance: /esoc_tb
2057
# ** Note: ESOC Control -> read from address 0009h 00000010h, expected 000007F8h, status: ERROR
2058
#    Time: 9640 ns  Iteration: 0  Instance: /esoc_tb
2059
# ** Note: ESOC Control -> read from address 000ah 00000010h, expected 00000000h, status: ERROR
2060
#    Time: 9980 ns  Iteration: 0  Instance: /esoc_tb
2061
# ** Note: ESOC Control -> read from address 000bh 00000008h, expected 00000008h, status: OK
2062
#    Time: 10320 ns  Iteration: 0  Instance: /esoc_tb
2063
# ** Note: ESOC Control -> read from address 000ch 00000008h, expected 00000008h, status: OK
2064
#    Time: 10660 ns  Iteration: 0  Instance: /esoc_tb
2065
# ** Note: ESOC Control -> read from address 000dh 00000008h, expected 00000008h, status: OK
2066
#    Time: 11 us  Iteration: 0  Instance: /esoc_tb
2067
# ** Note: ESOC Control -> read from address 000eh 0000000Ah, expected 00000003h, status: ERROR
2068
#    Time: 11340 ns  Iteration: 0  Instance: /esoc_tb
2069
# ** Note: ESOC Control -> read from address 0017h 0000000Ch, expected 0000000Ch, status: OK
2070
#    Time: 11680 ns  Iteration: 0  Instance: /esoc_tb
2071
# ** Note: ESOC Control -> read from address 003ah 00000000h, expected 00000000h, status: OK
2072
#    Time: 12020 ns  Iteration: 0  Instance: /esoc_tb
2073
# ** Note: ESOC Control -> read from address 003bh 00000000h, expected 00000000h, status: OK
2074
#    Time: 12360 ns  Iteration: 0  Instance: /esoc_tb
2075
# ** Note: ESOC Control -> write 00000001h to address ff00h
2076
#    Time: 12650 ns  Iteration: 0  Instance: /esoc_tb
2077
# ** Note: ESOC Control -> read from address ff00h 00000001h, expected 00000001h, status: OK
2078
#    Time: 12740 ns  Iteration: 0  Instance: /esoc_tb
2079
do run.do 15 4 4
2080
# 3. Simulation/0. Logs
2081
# 3. Simulation/1. Scripts
2082
# 3. Simulation/3. Waves
2083
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
2084
# -- Loading package standard
2085
# -- Loading package std_logic_1164
2086
# -- Loading package textio
2087
# -- Loading package numeric_std
2088
# -- Loading package pck_crc32_d8
2089
# -- Loading package esoc_configuration
2090
# -- Loading package package_txt_utilities
2091
# -- Compiling entity esoc_tb
2092
# -- Compiling architecture esoc_tb of esoc_tb
2093
# vsim -t ps -novopt work.esoc_tb
2094
# Loading std.standard
2095
# Loading ieee.std_logic_1164(body)
2096
# Loading std.textio(body)
2097
# Loading ieee.numeric_std(body)
2098
# Loading work.pck_crc32_d8(body)
2099
# Loading work.esoc_configuration(body)
2100
# Loading work.package_txt_utilities(body)
2101
# Loading work.esoc_tb(esoc_tb)
2102
# Loading work.esoc(structure)
2103
# Loading work.esoc_port(esoc_port)
2104
# Loading work.esoc_port_interface(structure)
2105
# Loading work.esoc_port_mal(port_mal)
2106
# Loading work.esoc_port_mal_control(esoc_port_mal_control)
2107
# Loading work.esoc_port_mal_inbound(esoc_port_mal_inbound)
2108
# Loading work.esoc_port_mal_outbound(esoc_port_mal_outbound)
2109
# Loading work.esoc_port_mal_clock(esoc_port_mal_clock)
2110
# Loading altera_mf.altera_mf_components
2111
# Loading sgate.sgate_pack(body)
2112
# Loading work.esoc_port_mac(rtl)
2113
# Loading ieee.std_logic_arith(body)
2114
# Loading ieee.std_logic_unsigned(body)
2115
# Loading altera_mf.altera_device_families(body)
2116
# Loading altera_mf.altera_common_conversion(body)
2117
# Loading altera_mf.altera_mf_hint_evaluation(body)
2118
# Loading altera_mf.alt3pram(behavior)
2119
# Loading altera_mf.altsyncram(translated)
2120
# Loading altera_mf.altddio_in(behave)
2121
# Loading altera_mf.altddio_out(behave)
2122
# Loading altera_mf.altshift_taps(behavioural)
2123
# Loading ieee.std_logic_signed(body)
2124
# Loading sgate.oper_add(sim_arch)
2125
# Loading sgate.oper_decoder(sim_arch)
2126
# Loading sgate.oper_less_than(sim_arch)
2127
# Loading sgate.oper_mux(sim_arch)
2128
# Loading sgate.oper_selector(sim_arch)
2129
# Loading work.esoc_port_processor(structure)
2130
# Loading work.esoc_control(esoc_control)
2131
# Loading work.esoc_reset(esoc_reset)
2132
# Loading work.esoc_db_arbiter(esoc_db_arbiter)
2133
# Loading work.esoc_search_engine(esoc_search)
2134
# Loading work.esoc_pll1_c3(syn)
2135
# Loading altera_mf.mf_pllpack(body)
2136
# Loading altera_mf.altpll(behavior)
2137
# Loading altera_mf.mf_cycloneiii_pll(vital_pll)
2138
# Loading altera_mf.mf_cda_mn_cntr(behave)
2139
# Loading altera_mf.mf_cda_scale_cntr(behave)
2140
# Loading work.esoc_pll2_c3(syn)
2141
# ** Warning: (vsim-WLF-5000) Waveform log file vsim.wlf currently in use.
2142
# File in use by: Saskia & Bert  Hostname: NETBOOK  ProcessID: 2492
2143
#           Attempting to use alternate file "./wlftr4aed6".
2144
# ** Warning: (vsim-WLF-5001) Could not open waveform log file vsim.wlf.  Using ./wlftr4aed6 instead.
2145
# ** Note: Cyclone III PLL locked to incoming clock
2146
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u1/altpll_component/cycloneiii_altpll/m5
2147
# ** Note: Cyclone III PLL locked to incoming clock
2148
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u3/altpll_component/cycloneiii_altpll/m5
2149
# ** Note: ESOC Reset -> reset released
2150
#    Time: 1 us  Iteration: 0  Instance: /esoc_tb
2151
# ** Note: ESOC RGMII Preprocessing -> start of processing stimuli for RGMII interfaces
2152
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2153
# ** Note: ESOC RGMII Preprocessing -> packet with length of 86 bytes written to port 00
2154
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2155
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0030 pstart: 0x00 crc: 0x110B2B50 ipg: 0x000C
2156
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2157
# ** Note: ESOC RGMII Preprocessing -> packet with length of 102 bytes written to port 00
2158
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2159
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0040 pstart: 0x00 crc: 0x0CBF189D ipg: 0x000C
2160
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2161
# ** Note: ESOC RGMII Preprocessing -> end of processing stimuli for RGMII interfaces
2162
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2163
# ** Note: ESOC RGMII Transmit -> start of transmitting stimuli to RGMII interfaces
2164
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2165
# ** Note: ESOC Control -> generate read/write cycles on control interface
2166
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2167
# ** Note: ESOC Control -> write 0400005Bh to address 0002h
2168
#    Time: 2120 ns  Iteration: 0  Instance: /esoc_tb
2169
# ** Note: ESOC Control -> write 00022017h to address 0003h
2170
#    Time: 2440 ns  Iteration: 0  Instance: /esoc_tb
2171
# ** Note: ESOC Control -> write 00022017h to address 0004h
2172
#    Time: 2760 ns  Iteration: 0  Instance: /esoc_tb
2173
# ** Note: ESOC Control -> write 0000000Ch to address 0017h
2174
#    Time: 3080 ns  Iteration: 0  Instance: /esoc_tb
2175
# ** Note: ESOC Control -> write 000005EEh to address 0005h
2176
#    Time: 3400 ns  Iteration: 0  Instance: /esoc_tb
2177
# ** Note: ESOC Control -> write 0000000Fh to address 0006h
2178
#    Time: 3720 ns  Iteration: 0  Instance: /esoc_tb
2179
# ** Note: ESOC Control -> write 000007F0h to address 0007h
2180
#    Time: 4040 ns  Iteration: 0  Instance: /esoc_tb
2181
# ** Note: ESOC Control -> write 00000000h to address 0008h
2182
#    Time: 4360 ns  Iteration: 0  Instance: /esoc_tb
2183
# ** Note: ESOC Control -> write 000007F0h to address 0009h
2184
#    Time: 4680 ns  Iteration: 0  Instance: /esoc_tb
2185
# ** Note: ESOC Control -> write 00000000h to address 000ah
2186
#    Time: 5 us  Iteration: 0  Instance: /esoc_tb
2187
# ** Note: ESOC Control -> write 00000008h to address 000bh
2188
#    Time: 5320 ns  Iteration: 0  Instance: /esoc_tb
2189
# ** Note: ESOC Control -> write 00000008h to address 000ch
2190
#    Time: 5640 ns  Iteration: 0  Instance: /esoc_tb
2191
# ** Note: ESOC Control -> write 00000008h to address 000dh
2192
#    Time: 5960 ns  Iteration: 0  Instance: /esoc_tb
2193
# ** Note: ESOC Control -> write 00000008h to address 000eh
2194
#    Time: 6280 ns  Iteration: 0  Instance: /esoc_tb
2195
# ** Note: ESOC Control -> write 00000000h to address 003ah
2196
#    Time: 6600 ns  Iteration: 0  Instance: /esoc_tb
2197
# ** Note: ESOC Control -> write 00000000h to address 003bh
2198
#    Time: 6920 ns  Iteration: 0  Instance: /esoc_tb
2199
# ** Note: ESOC Control -> read from address 0002h 0400005Bh, expected 0400005Bh, status: OK
2200
#    Time: 7260 ns  Iteration: 0  Instance: /esoc_tb
2201
# ** Note: ESOC Control -> read from address 0003h 00022017h, expected 0400005Bh, status: ERROR
2202
#    Time: 7600 ns  Iteration: 0  Instance: /esoc_tb
2203
# ** Note: ESOC Control -> read from address 0004h 00002017h, expected 0400005Bh, status: ERROR
2204
#    Time: 7940 ns  Iteration: 0  Instance: /esoc_tb
2205
# ** Note: ESOC Control -> read from address 0005h 000005EEh, expected 000005EEh, status: OK
2206
#    Time: 8280 ns  Iteration: 0  Instance: /esoc_tb
2207
# ** Note: ESOC Control -> read from address 0006h 0000000Fh, expected 0000000Fh, status: OK
2208
#    Time: 8620 ns  Iteration: 0  Instance: /esoc_tb
2209
# ** Note: ESOC Control -> read from address 0007h 000007F0h, expected 00000400h, status: ERROR
2210
#    Time: 8960 ns  Iteration: 0  Instance: /esoc_tb
2211
# ** Note: ESOC Control -> read from address 0008h 00000000h, expected 00000000h, status: OK
2212
#    Time: 9300 ns  Iteration: 0  Instance: /esoc_tb
2213
# ** Note: ESOC Control -> read from address 0009h 000007F0h, expected 000007F8h, status: ERROR
2214
#    Time: 9640 ns  Iteration: 0  Instance: /esoc_tb
2215
# ** Note: ESOC Control -> read from address 000ah 00000000h, expected 00000000h, status: OK
2216
#    Time: 9980 ns  Iteration: 0  Instance: /esoc_tb
2217
# ** Note: ESOC Control -> read from address 000bh 00000008h, expected 00000008h, status: OK
2218
#    Time: 10320 ns  Iteration: 0  Instance: /esoc_tb
2219
# ** Note: ESOC Control -> read from address 000ch 00000008h, expected 00000008h, status: OK
2220
#    Time: 10660 ns  Iteration: 0  Instance: /esoc_tb
2221
# ** Note: ESOC Control -> read from address 000dh 00000008h, expected 00000008h, status: OK
2222
#    Time: 11 us  Iteration: 0  Instance: /esoc_tb
2223
# ** Note: ESOC Control -> read from address 000eh 00000008h, expected 00000003h, status: ERROR
2224
#    Time: 11340 ns  Iteration: 0  Instance: /esoc_tb
2225
# ** Note: ESOC Control -> read from address 0017h 0000000Ch, expected 0000000Ch, status: OK
2226
#    Time: 11680 ns  Iteration: 0  Instance: /esoc_tb
2227
# ** Note: ESOC Control -> read from address 003ah 00000000h, expected 00000000h, status: OK
2228
#    Time: 12020 ns  Iteration: 0  Instance: /esoc_tb
2229
# ** Note: ESOC Control -> read from address 003bh 00000000h, expected 00000000h, status: OK
2230
#    Time: 12360 ns  Iteration: 0  Instance: /esoc_tb
2231
# ** Note: ESOC Control -> write 00000001h to address ff00h
2232
#    Time: 12650 ns  Iteration: 0  Instance: /esoc_tb
2233
# ** Note: ESOC Control -> read from address ff00h 00000001h, expected 00000001h, status: OK
2234
#    Time: 12740 ns  Iteration: 0  Instance: /esoc_tb
2235
do run.do 15 4 4
2236
# 3. Simulation/0. Logs
2237
# 3. Simulation/1. Scripts
2238
# 3. Simulation/3. Waves
2239
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
2240
# -- Loading package standard
2241
# -- Loading package std_logic_1164
2242
# -- Loading package textio
2243
# -- Loading package numeric_std
2244
# -- Loading package pck_crc32_d8
2245
# -- Loading package esoc_configuration
2246
# -- Loading package package_txt_utilities
2247
# -- Compiling entity esoc_tb
2248
# -- Compiling architecture esoc_tb of esoc_tb
2249
# vsim -t ps -novopt work.esoc_tb
2250
# Loading std.standard
2251
# Loading ieee.std_logic_1164(body)
2252
# Loading std.textio(body)
2253
# Loading ieee.numeric_std(body)
2254
# Loading work.pck_crc32_d8(body)
2255
# Loading work.esoc_configuration(body)
2256
# Loading work.package_txt_utilities(body)
2257
# Loading work.esoc_tb(esoc_tb)
2258
# Loading work.esoc(structure)
2259
# Loading work.esoc_port(esoc_port)
2260
# Loading work.esoc_port_interface(structure)
2261
# Loading work.esoc_port_mal(port_mal)
2262
# Loading work.esoc_port_mal_control(esoc_port_mal_control)
2263
# Loading work.esoc_port_mal_inbound(esoc_port_mal_inbound)
2264
# Loading work.esoc_port_mal_outbound(esoc_port_mal_outbound)
2265
# Loading work.esoc_port_mal_clock(esoc_port_mal_clock)
2266
# Loading altera_mf.altera_mf_components
2267
# Loading sgate.sgate_pack(body)
2268
# Loading work.esoc_port_mac(rtl)
2269
# Loading ieee.std_logic_arith(body)
2270
# Loading ieee.std_logic_unsigned(body)
2271
# Loading altera_mf.altera_device_families(body)
2272
# Loading altera_mf.altera_common_conversion(body)
2273
# Loading altera_mf.altera_mf_hint_evaluation(body)
2274
# Loading altera_mf.alt3pram(behavior)
2275
# Loading altera_mf.altsyncram(translated)
2276
# Loading altera_mf.altddio_in(behave)
2277
# Loading altera_mf.altddio_out(behave)
2278
# Loading altera_mf.altshift_taps(behavioural)
2279
# Loading ieee.std_logic_signed(body)
2280
# Loading sgate.oper_add(sim_arch)
2281
# Loading sgate.oper_decoder(sim_arch)
2282
# Loading sgate.oper_less_than(sim_arch)
2283
# Loading sgate.oper_mux(sim_arch)
2284
# Loading sgate.oper_selector(sim_arch)
2285
# Loading work.esoc_port_processor(structure)
2286
# Loading work.esoc_control(esoc_control)
2287
# Loading work.esoc_reset(esoc_reset)
2288
# Loading work.esoc_db_arbiter(esoc_db_arbiter)
2289
# Loading work.esoc_search_engine(esoc_search)
2290
# Loading work.esoc_pll1_c3(syn)
2291
# Loading altera_mf.mf_pllpack(body)
2292
# Loading altera_mf.altpll(behavior)
2293
# Loading altera_mf.mf_cycloneiii_pll(vital_pll)
2294
# Loading altera_mf.mf_cda_mn_cntr(behave)
2295
# Loading altera_mf.mf_cda_scale_cntr(behave)
2296
# Loading work.esoc_pll2_c3(syn)
2297
# ** Warning: (vsim-WLF-5000) Waveform log file vsim.wlf currently in use.
2298
# File in use by: Saskia & Bert  Hostname: NETBOOK  ProcessID: 2492
2299
#           Attempting to use alternate file "./wlftmn891i".
2300
# ** Warning: (vsim-WLF-5001) Could not open waveform log file vsim.wlf.  Using ./wlftmn891i instead.
2301
# ** Note: Cyclone III PLL locked to incoming clock
2302
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u1/altpll_component/cycloneiii_altpll/m5
2303
# ** Note: Cyclone III PLL locked to incoming clock
2304
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u3/altpll_component/cycloneiii_altpll/m5
2305
# ** Note: ESOC Reset -> reset released
2306
#    Time: 1 us  Iteration: 0  Instance: /esoc_tb
2307
# ** Note: ESOC RGMII Preprocessing -> start of processing stimuli for RGMII interfaces
2308
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2309
# ** Note: ESOC RGMII Preprocessing -> packet with length of 86 bytes written to port 00
2310
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2311
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0030 pstart: 0x00 crc: 0x110B2B50 ipg: 0x000C
2312
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2313
# ** Note: ESOC RGMII Preprocessing -> packet with length of 102 bytes written to port 00
2314
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2315
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0040 pstart: 0x00 crc: 0x0CBF189D ipg: 0x000C
2316
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2317
# ** Note: ESOC RGMII Preprocessing -> end of processing stimuli for RGMII interfaces
2318
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2319
# ** Note: ESOC RGMII Transmit -> start of transmitting stimuli to RGMII interfaces
2320
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2321
# ** Note: ESOC Control -> generate read/write cycles on control interface
2322
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2323
# ** Note: ESOC Control -> write 0400005Bh to address 0002h
2324
#    Time: 2120 ns  Iteration: 0  Instance: /esoc_tb
2325
# ** Note: ESOC Control -> write 00022017h to address 0003h
2326
#    Time: 2440 ns  Iteration: 0  Instance: /esoc_tb
2327
# ** Note: ESOC Control -> write 00022017h to address 0004h
2328
#    Time: 2760 ns  Iteration: 0  Instance: /esoc_tb
2329
# ** Note: ESOC Control -> write 0000000Ch to address 0017h
2330
#    Time: 3080 ns  Iteration: 0  Instance: /esoc_tb
2331
# ** Note: ESOC Control -> write 000005EEh to address 0005h
2332
#    Time: 3400 ns  Iteration: 0  Instance: /esoc_tb
2333
# ** Note: ESOC Control -> write 0000000Fh to address 0006h
2334
#    Time: 3720 ns  Iteration: 0  Instance: /esoc_tb
2335
# ** Note: ESOC Control -> write 000007F0h to address 0007h
2336
#    Time: 4040 ns  Iteration: 0  Instance: /esoc_tb
2337
# ** Note: ESOC Control -> write 00000000h to address 0008h
2338
#    Time: 4360 ns  Iteration: 0  Instance: /esoc_tb
2339
# ** Note: ESOC Control -> write 00000000h to address 0009h
2340
#    Time: 4680 ns  Iteration: 0  Instance: /esoc_tb
2341
# ** Note: ESOC Control -> write 00000000h to address 000ah
2342
#    Time: 5 us  Iteration: 0  Instance: /esoc_tb
2343
# ** Note: ESOC Control -> write 00000008h to address 000bh
2344
#    Time: 5320 ns  Iteration: 0  Instance: /esoc_tb
2345
# ** Note: ESOC Control -> write 00000008h to address 000ch
2346
#    Time: 5640 ns  Iteration: 0  Instance: /esoc_tb
2347
# ** Note: ESOC Control -> write 00000008h to address 000dh
2348
#    Time: 5960 ns  Iteration: 0  Instance: /esoc_tb
2349
# ** Note: ESOC Control -> write 00000008h to address 000eh
2350
#    Time: 6280 ns  Iteration: 0  Instance: /esoc_tb
2351
# ** Note: ESOC Control -> write 00000000h to address 003ah
2352
#    Time: 6600 ns  Iteration: 0  Instance: /esoc_tb
2353
# ** Note: ESOC Control -> write 00000000h to address 003bh
2354
#    Time: 6920 ns  Iteration: 0  Instance: /esoc_tb
2355
# ** Note: ESOC Control -> read from address 0002h 0400005Bh, expected 0400005Bh, status: OK
2356
#    Time: 7260 ns  Iteration: 0  Instance: /esoc_tb
2357
# ** Note: ESOC Control -> read from address 0003h 00022017h, expected 0400005Bh, status: ERROR
2358
#    Time: 7600 ns  Iteration: 0  Instance: /esoc_tb
2359
# ** Note: ESOC Control -> read from address 0004h 00002017h, expected 0400005Bh, status: ERROR
2360
#    Time: 7940 ns  Iteration: 0  Instance: /esoc_tb
2361
# ** Note: ESOC Control -> read from address 0005h 000005EEh, expected 000005EEh, status: OK
2362
#    Time: 8280 ns  Iteration: 0  Instance: /esoc_tb
2363
# ** Note: ESOC Control -> read from address 0006h 0000000Fh, expected 0000000Fh, status: OK
2364
#    Time: 8620 ns  Iteration: 0  Instance: /esoc_tb
2365
# ** Note: ESOC Control -> read from address 0007h 000007F0h, expected 00000400h, status: ERROR
2366
#    Time: 8960 ns  Iteration: 0  Instance: /esoc_tb
2367
# ** Note: ESOC Control -> read from address 0008h 00000000h, expected 00000000h, status: OK
2368
#    Time: 9300 ns  Iteration: 0  Instance: /esoc_tb
2369
# ** Note: ESOC Control -> read from address 0009h 00000000h, expected 000007F8h, status: ERROR
2370
#    Time: 9640 ns  Iteration: 0  Instance: /esoc_tb
2371
# ** Note: ESOC Control -> read from address 000ah 00000000h, expected 00000000h, status: OK
2372
#    Time: 9980 ns  Iteration: 0  Instance: /esoc_tb
2373
# ** Note: ESOC Control -> read from address 000bh 00000008h, expected 00000008h, status: OK
2374
#    Time: 10320 ns  Iteration: 0  Instance: /esoc_tb
2375
# ** Note: ESOC Control -> read from address 000ch 00000008h, expected 00000008h, status: OK
2376
#    Time: 10660 ns  Iteration: 0  Instance: /esoc_tb
2377
# ** Note: ESOC Control -> read from address 000dh 00000008h, expected 00000008h, status: OK
2378
#    Time: 11 us  Iteration: 0  Instance: /esoc_tb
2379
# ** Note: ESOC Control -> read from address 000eh 00000008h, expected 00000003h, status: ERROR
2380
#    Time: 11340 ns  Iteration: 0  Instance: /esoc_tb
2381
# ** Note: ESOC Control -> read from address 0017h 0000000Ch, expected 0000000Ch, status: OK
2382
#    Time: 11680 ns  Iteration: 0  Instance: /esoc_tb
2383
# ** Note: ESOC Control -> read from address 003ah 00000000h, expected 00000000h, status: OK
2384
#    Time: 12020 ns  Iteration: 0  Instance: /esoc_tb
2385
# ** Note: ESOC Control -> read from address 003bh 00000000h, expected 00000000h, status: OK
2386
#    Time: 12360 ns  Iteration: 0  Instance: /esoc_tb
2387
# ** Note: ESOC Control -> write 00000001h to address ff00h
2388
#    Time: 12650 ns  Iteration: 0  Instance: /esoc_tb
2389
# ** Note: ESOC Control -> read from address ff00h 00000001h, expected 00000001h, status: OK
2390
#    Time: 12740 ns  Iteration: 0  Instance: /esoc_tb
2391
do run.do 15 4 4
2392
# 3. Simulation/0. Logs
2393
# 3. Simulation/1. Scripts
2394
# 3. Simulation/3. Waves
2395
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
2396
# -- Loading package standard
2397
# -- Loading package std_logic_1164
2398
# -- Loading package textio
2399
# -- Loading package numeric_std
2400
# -- Loading package pck_crc32_d8
2401
# -- Loading package esoc_configuration
2402
# -- Loading package package_txt_utilities
2403
# -- Compiling entity esoc_tb
2404
# -- Compiling architecture esoc_tb of esoc_tb
2405
# vsim -t ps -novopt work.esoc_tb
2406
# Loading std.standard
2407
# Loading ieee.std_logic_1164(body)
2408
# Loading std.textio(body)
2409
# Loading ieee.numeric_std(body)
2410
# Loading work.pck_crc32_d8(body)
2411
# Loading work.esoc_configuration(body)
2412
# Loading work.package_txt_utilities(body)
2413
# Loading work.esoc_tb(esoc_tb)
2414
# Loading work.esoc(structure)
2415
# Loading work.esoc_port(esoc_port)
2416
# Loading work.esoc_port_interface(structure)
2417
# Loading work.esoc_port_mal(port_mal)
2418
# Loading work.esoc_port_mal_control(esoc_port_mal_control)
2419
# Loading work.esoc_port_mal_inbound(esoc_port_mal_inbound)
2420
# Loading work.esoc_port_mal_outbound(esoc_port_mal_outbound)
2421
# Loading work.esoc_port_mal_clock(esoc_port_mal_clock)
2422
# Loading altera_mf.altera_mf_components
2423
# Loading sgate.sgate_pack(body)
2424
# Loading work.esoc_port_mac(rtl)
2425
# Loading ieee.std_logic_arith(body)
2426
# Loading ieee.std_logic_unsigned(body)
2427
# Loading altera_mf.altera_device_families(body)
2428
# Loading altera_mf.altera_common_conversion(body)
2429
# Loading altera_mf.altera_mf_hint_evaluation(body)
2430
# Loading altera_mf.alt3pram(behavior)
2431
# Loading altera_mf.altsyncram(translated)
2432
# Loading altera_mf.altddio_in(behave)
2433
# Loading altera_mf.altddio_out(behave)
2434
# Loading altera_mf.altshift_taps(behavioural)
2435
# Loading ieee.std_logic_signed(body)
2436
# Loading sgate.oper_add(sim_arch)
2437
# Loading sgate.oper_decoder(sim_arch)
2438
# Loading sgate.oper_less_than(sim_arch)
2439
# Loading sgate.oper_mux(sim_arch)
2440
# Loading sgate.oper_selector(sim_arch)
2441
# Loading work.esoc_port_processor(structure)
2442
# Loading work.esoc_control(esoc_control)
2443
# Loading work.esoc_reset(esoc_reset)
2444
# Loading work.esoc_db_arbiter(esoc_db_arbiter)
2445
# Loading work.esoc_search_engine(esoc_search)
2446
# Loading work.esoc_pll1_c3(syn)
2447
# Loading altera_mf.mf_pllpack(body)
2448
# Loading altera_mf.altpll(behavior)
2449
# Loading altera_mf.mf_cycloneiii_pll(vital_pll)
2450
# Loading altera_mf.mf_cda_mn_cntr(behave)
2451
# Loading altera_mf.mf_cda_scale_cntr(behave)
2452
# Loading work.esoc_pll2_c3(syn)
2453
# ** Warning: (vsim-WLF-5000) Waveform log file vsim.wlf currently in use.
2454
# File in use by: Saskia & Bert  Hostname: NETBOOK  ProcessID: 2492
2455
#           Attempting to use alternate file "./wlft80avk5".
2456
# ** Warning: (vsim-WLF-5001) Could not open waveform log file vsim.wlf.  Using ./wlft80avk5 instead.
2457
# ** Note: Cyclone III PLL locked to incoming clock
2458
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u1/altpll_component/cycloneiii_altpll/m5
2459
# ** Note: Cyclone III PLL locked to incoming clock
2460
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u3/altpll_component/cycloneiii_altpll/m5
2461
# ** Note: ESOC Reset -> reset released
2462
#    Time: 1 us  Iteration: 0  Instance: /esoc_tb
2463
# ** Note: ESOC RGMII Preprocessing -> start of processing stimuli for RGMII interfaces
2464
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2465
# ** Note: ESOC RGMII Preprocessing -> packet with length of 86 bytes written to port 00
2466
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2467
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0030 pstart: 0x00 crc: 0x110B2B50 ipg: 0x000C
2468
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2469
# ** Note: ESOC RGMII Preprocessing -> packet with length of 102 bytes written to port 00
2470
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2471
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0040 pstart: 0x00 crc: 0x0CBF189D ipg: 0x000C
2472
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2473
# ** Note: ESOC RGMII Preprocessing -> end of processing stimuli for RGMII interfaces
2474
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2475
# ** Note: ESOC RGMII Transmit -> start of transmitting stimuli to RGMII interfaces
2476
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2477
# ** Note: ESOC Control -> generate read/write cycles on control interface
2478
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2479
# ** Note: ESOC Control -> write 0400005Bh to address 0002h
2480
#    Time: 2120 ns  Iteration: 0  Instance: /esoc_tb
2481
# ** Note: ESOC Control -> write 00022017h to address 0003h
2482
#    Time: 2440 ns  Iteration: 0  Instance: /esoc_tb
2483
# ** Note: ESOC Control -> write 00022017h to address 0004h
2484
#    Time: 2760 ns  Iteration: 0  Instance: /esoc_tb
2485
# ** Note: ESOC Control -> write 0000000Ch to address 0017h
2486
#    Time: 3080 ns  Iteration: 0  Instance: /esoc_tb
2487
# ** Note: ESOC Control -> write 000005EEh to address 0005h
2488
#    Time: 3400 ns  Iteration: 0  Instance: /esoc_tb
2489
# ** Note: ESOC Control -> write 0000000Fh to address 0006h
2490
#    Time: 3720 ns  Iteration: 0  Instance: /esoc_tb
2491
# ** Note: ESOC Control -> write 000007F0h to address 0007h
2492
#    Time: 4040 ns  Iteration: 0  Instance: /esoc_tb
2493
# ** Note: ESOC Control -> write 00000000h to address 0008h
2494
#    Time: 4360 ns  Iteration: 0  Instance: /esoc_tb
2495
# ** Note: ESOC Control -> write 00000003h to address 0009h
2496
#    Time: 4680 ns  Iteration: 0  Instance: /esoc_tb
2497
# ** Note: ESOC Control -> write 00000000h to address 000ah
2498
#    Time: 5 us  Iteration: 0  Instance: /esoc_tb
2499
# ** Note: ESOC Control -> write 00000008h to address 000bh
2500
#    Time: 5320 ns  Iteration: 0  Instance: /esoc_tb
2501
# ** Note: ESOC Control -> write 00000008h to address 000ch
2502
#    Time: 5640 ns  Iteration: 0  Instance: /esoc_tb
2503
# ** Note: ESOC Control -> write 00000008h to address 000dh
2504
#    Time: 5960 ns  Iteration: 0  Instance: /esoc_tb
2505
# ** Note: ESOC Control -> write 00000008h to address 000eh
2506
#    Time: 6280 ns  Iteration: 0  Instance: /esoc_tb
2507
# ** Note: ESOC Control -> write 00000000h to address 003ah
2508
#    Time: 6600 ns  Iteration: 0  Instance: /esoc_tb
2509
# ** Note: ESOC Control -> write 00000000h to address 003bh
2510
#    Time: 6920 ns  Iteration: 0  Instance: /esoc_tb
2511
# ** Note: ESOC Control -> read from address 0002h 0400005Bh, expected 0400005Bh, status: OK
2512
#    Time: 7260 ns  Iteration: 0  Instance: /esoc_tb
2513
# ** Note: ESOC Control -> read from address 0003h 00022017h, expected 0400005Bh, status: ERROR
2514
#    Time: 7600 ns  Iteration: 0  Instance: /esoc_tb
2515
# ** Note: ESOC Control -> read from address 0004h 00002017h, expected 0400005Bh, status: ERROR
2516
#    Time: 7940 ns  Iteration: 0  Instance: /esoc_tb
2517
# ** Note: ESOC Control -> read from address 0005h 000005EEh, expected 000005EEh, status: OK
2518
#    Time: 8280 ns  Iteration: 0  Instance: /esoc_tb
2519
# ** Note: ESOC Control -> read from address 0006h 0000000Fh, expected 0000000Fh, status: OK
2520
#    Time: 8620 ns  Iteration: 0  Instance: /esoc_tb
2521
# ** Note: ESOC Control -> read from address 0007h 000007F0h, expected 00000400h, status: ERROR
2522
#    Time: 8960 ns  Iteration: 0  Instance: /esoc_tb
2523
# ** Note: ESOC Control -> read from address 0008h 00000000h, expected 00000000h, status: OK
2524
#    Time: 9300 ns  Iteration: 0  Instance: /esoc_tb
2525
# ** Note: ESOC Control -> read from address 0009h 00000003h, expected 000007F8h, status: ERROR
2526
#    Time: 9640 ns  Iteration: 0  Instance: /esoc_tb
2527
# ** Note: ESOC Control -> read from address 000ah 00000000h, expected 00000000h, status: OK
2528
#    Time: 9980 ns  Iteration: 0  Instance: /esoc_tb
2529
# ** Note: ESOC Control -> read from address 000bh 00000008h, expected 00000008h, status: OK
2530
#    Time: 10320 ns  Iteration: 0  Instance: /esoc_tb
2531
# ** Note: ESOC Control -> read from address 000ch 00000008h, expected 00000008h, status: OK
2532
#    Time: 10660 ns  Iteration: 0  Instance: /esoc_tb
2533
# ** Note: ESOC Control -> read from address 000dh 00000008h, expected 00000008h, status: OK
2534
#    Time: 11 us  Iteration: 0  Instance: /esoc_tb
2535
# ** Note: ESOC Control -> read from address 000eh 00000008h, expected 00000003h, status: ERROR
2536
#    Time: 11340 ns  Iteration: 0  Instance: /esoc_tb
2537
# ** Note: ESOC Control -> read from address 0017h 0000000Ch, expected 0000000Ch, status: OK
2538
#    Time: 11680 ns  Iteration: 0  Instance: /esoc_tb
2539
# ** Note: ESOC Control -> read from address 003ah 00000000h, expected 00000000h, status: OK
2540
#    Time: 12020 ns  Iteration: 0  Instance: /esoc_tb
2541
# ** Note: ESOC Control -> read from address 003bh 00000000h, expected 00000000h, status: OK
2542
#    Time: 12360 ns  Iteration: 0  Instance: /esoc_tb
2543
# ** Note: ESOC Control -> write 00000001h to address ff00h
2544
#    Time: 12650 ns  Iteration: 0  Instance: /esoc_tb
2545
# ** Note: ESOC Control -> read from address ff00h 00000001h, expected 00000001h, status: OK
2546
#    Time: 12740 ns  Iteration: 0  Instance: /esoc_tb
2547
do run.do 5 4 4
2548
# 3. Simulation/0. Logs
2549
# 3. Simulation/1. Scripts
2550
# 3. Simulation/3. Waves
2551
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
2552
# -- Loading package standard
2553
# -- Loading package std_logic_1164
2554
# -- Loading package textio
2555
# -- Loading package numeric_std
2556
# -- Loading package pck_crc32_d8
2557
# -- Loading package esoc_configuration
2558
# -- Loading package package_txt_utilities
2559
# -- Compiling entity esoc_tb
2560
# -- Compiling architecture esoc_tb of esoc_tb
2561
# vsim -t ps -novopt work.esoc_tb
2562
# Loading std.standard
2563
# Loading ieee.std_logic_1164(body)
2564
# Loading std.textio(body)
2565
# Loading ieee.numeric_std(body)
2566
# Loading work.pck_crc32_d8(body)
2567
# Loading work.esoc_configuration(body)
2568
# Loading work.package_txt_utilities(body)
2569
# Loading work.esoc_tb(esoc_tb)
2570
# Loading work.esoc(structure)
2571
# Loading work.esoc_port(esoc_port)
2572
# Loading work.esoc_port_interface(structure)
2573
# Loading work.esoc_port_mal(port_mal)
2574
# Loading work.esoc_port_mal_control(esoc_port_mal_control)
2575
# Loading work.esoc_port_mal_inbound(esoc_port_mal_inbound)
2576
# Loading work.esoc_port_mal_outbound(esoc_port_mal_outbound)
2577
# Loading work.esoc_port_mal_clock(esoc_port_mal_clock)
2578
# Loading altera_mf.altera_mf_components
2579
# Loading sgate.sgate_pack(body)
2580
# Loading work.esoc_port_mac(rtl)
2581
# Loading ieee.std_logic_arith(body)
2582
# Loading ieee.std_logic_unsigned(body)
2583
# Loading altera_mf.altera_device_families(body)
2584
# Loading altera_mf.altera_common_conversion(body)
2585
# Loading altera_mf.altera_mf_hint_evaluation(body)
2586
# Loading altera_mf.alt3pram(behavior)
2587
# Loading altera_mf.altsyncram(translated)
2588
# Loading altera_mf.altddio_in(behave)
2589
# Loading altera_mf.altddio_out(behave)
2590
# Loading altera_mf.altshift_taps(behavioural)
2591
# Loading ieee.std_logic_signed(body)
2592
# Loading sgate.oper_add(sim_arch)
2593
# Loading sgate.oper_decoder(sim_arch)
2594
# Loading sgate.oper_less_than(sim_arch)
2595
# Loading sgate.oper_mux(sim_arch)
2596
# Loading sgate.oper_selector(sim_arch)
2597
# Loading work.esoc_port_processor(structure)
2598
# Loading work.esoc_control(esoc_control)
2599
# Loading work.esoc_reset(esoc_reset)
2600
# Loading work.esoc_db_arbiter(esoc_db_arbiter)
2601
# Loading work.esoc_search_engine(esoc_search)
2602
# Loading work.esoc_pll1_c3(syn)
2603
# Loading altera_mf.mf_pllpack(body)
2604
# Loading altera_mf.altpll(behavior)
2605
# Loading altera_mf.mf_cycloneiii_pll(vital_pll)
2606
# Loading altera_mf.mf_cda_mn_cntr(behave)
2607
# Loading altera_mf.mf_cda_scale_cntr(behave)
2608
# Loading work.esoc_pll2_c3(syn)
2609
# ** Warning: (vsim-WLF-5000) Waveform log file vsim.wlf currently in use.
2610
# File in use by: Saskia & Bert  Hostname: NETBOOK  ProcessID: 2492
2611
#           Attempting to use alternate file "./wlftykyrdq".
2612
# ** Warning: (vsim-WLF-5001) Could not open waveform log file vsim.wlf.  Using ./wlftykyrdq instead.
2613
# ** Note: Cyclone III PLL locked to incoming clock
2614
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u1/altpll_component/cycloneiii_altpll/m5
2615
# ** Note: Cyclone III PLL locked to incoming clock
2616
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u3/altpll_component/cycloneiii_altpll/m5
2617
# ** Note: ESOC Reset -> reset released
2618
#    Time: 1 us  Iteration: 0  Instance: /esoc_tb
2619
# ** Note: ESOC RGMII Preprocessing -> start of processing stimuli for RGMII interfaces
2620
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2621
# ** Note: ESOC RGMII Preprocessing -> packet with length of 86 bytes written to port 00
2622
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2623
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0030 pstart: 0x00 crc: 0x110B2B50 ipg: 0x000C
2624
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2625
# ** Note: ESOC RGMII Preprocessing -> packet with length of 102 bytes written to port 00
2626
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2627
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0040 pstart: 0x00 crc: 0x0CBF189D ipg: 0x000C
2628
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2629
# ** Note: ESOC RGMII Preprocessing -> end of processing stimuli for RGMII interfaces
2630
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2631
# ** Note: ESOC RGMII Transmit -> start of transmitting stimuli to RGMII interfaces
2632
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2633
# ** Note: ESOC Control -> generate read/write cycles on control interface
2634
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2635
# ** Note: ESOC Control -> write 0400005Bh to address 0002h
2636
#    Time: 2120 ns  Iteration: 0  Instance: /esoc_tb
2637
# ** Note: ESOC Control -> write 00022017h to address 0003h
2638
#    Time: 2440 ns  Iteration: 0  Instance: /esoc_tb
2639
# ** Note: ESOC Control -> write 00022017h to address 0004h
2640
#    Time: 2760 ns  Iteration: 0  Instance: /esoc_tb
2641
# ** Note: ESOC Control -> write 0000000Ch to address 0017h
2642
#    Time: 3080 ns  Iteration: 0  Instance: /esoc_tb
2643
# ** Note: ESOC Control -> write 000005EEh to address 0005h
2644
#    Time: 3400 ns  Iteration: 0  Instance: /esoc_tb
2645
# ** Note: ESOC Control -> write 0000000Fh to address 0006h
2646
#    Time: 3720 ns  Iteration: 0  Instance: /esoc_tb
2647
# ** Note: ESOC Control -> write 000007F0h to address 0007h
2648
#    Time: 4040 ns  Iteration: 0  Instance: /esoc_tb
2649
# ** Note: ESOC Control -> write 00000000h to address 0008h
2650
#    Time: 4360 ns  Iteration: 0  Instance: /esoc_tb
2651
# ** Note: ESOC Control -> write 00000010h to address 0009h
2652
#    Time: 4680 ns  Iteration: 0  Instance: /esoc_tb
2653
# ** Note: ESOC Control -> write 00000000h to address 000ah
2654
#    Time: 5 us  Iteration: 0  Instance: /esoc_tb
2655
do run.do 5 4 4
2656
# 3. Simulation/0. Logs
2657
# 3. Simulation/1. Scripts
2658
# 3. Simulation/3. Waves
2659
# Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
2660
# -- Loading package standard
2661
# -- Loading package std_logic_1164
2662
# -- Loading package textio
2663
# -- Loading package numeric_std
2664
# -- Loading package pck_crc32_d8
2665
# -- Loading package esoc_configuration
2666
# -- Loading package package_txt_utilities
2667
# -- Compiling entity esoc_tb
2668
# -- Compiling architecture esoc_tb of esoc_tb
2669
# vsim -t ps -novopt work.esoc_tb
2670
# Loading std.standard
2671
# Loading ieee.std_logic_1164(body)
2672
# Loading std.textio(body)
2673
# Loading ieee.numeric_std(body)
2674
# Loading work.pck_crc32_d8(body)
2675
# Loading work.esoc_configuration(body)
2676
# Loading work.package_txt_utilities(body)
2677
# Loading work.esoc_tb(esoc_tb)
2678
# Loading work.esoc(structure)
2679
# Loading work.esoc_port(esoc_port)
2680
# Loading work.esoc_port_interface(structure)
2681
# Loading work.esoc_port_mal(port_mal)
2682
# Loading work.esoc_port_mal_control(esoc_port_mal_control)
2683
# Loading work.esoc_port_mal_inbound(esoc_port_mal_inbound)
2684
# Loading work.esoc_port_mal_outbound(esoc_port_mal_outbound)
2685
# Loading work.esoc_port_mal_clock(esoc_port_mal_clock)
2686
# Loading altera_mf.altera_mf_components
2687
# Loading sgate.sgate_pack(body)
2688
# Loading work.esoc_port_mac(rtl)
2689
# Loading ieee.std_logic_arith(body)
2690
# Loading ieee.std_logic_unsigned(body)
2691
# Loading altera_mf.altera_device_families(body)
2692
# Loading altera_mf.altera_common_conversion(body)
2693
# Loading altera_mf.altera_mf_hint_evaluation(body)
2694
# Loading altera_mf.alt3pram(behavior)
2695
# Loading altera_mf.altsyncram(translated)
2696
# Loading altera_mf.altddio_in(behave)
2697
# Loading altera_mf.altddio_out(behave)
2698
# Loading altera_mf.altshift_taps(behavioural)
2699
# Loading ieee.std_logic_signed(body)
2700
# Loading sgate.oper_add(sim_arch)
2701
# Loading sgate.oper_decoder(sim_arch)
2702
# Loading sgate.oper_less_than(sim_arch)
2703
# Loading sgate.oper_mux(sim_arch)
2704
# Loading sgate.oper_selector(sim_arch)
2705
# Loading work.esoc_port_processor(structure)
2706
# Loading work.esoc_control(esoc_control)
2707
# Loading work.esoc_reset(esoc_reset)
2708
# Loading work.esoc_db_arbiter(esoc_db_arbiter)
2709
# Loading work.esoc_search_engine(esoc_search)
2710
# Loading work.esoc_pll1_c3(syn)
2711
# Loading altera_mf.mf_pllpack(body)
2712
# Loading altera_mf.altpll(behavior)
2713
# Loading altera_mf.mf_cycloneiii_pll(vital_pll)
2714
# Loading altera_mf.mf_cda_mn_cntr(behave)
2715
# Loading altera_mf.mf_cda_scale_cntr(behave)
2716
# Loading work.esoc_pll2_c3(syn)
2717
# ** Warning: (vsim-WLF-5000) Waveform log file vsim.wlf currently in use.
2718
# File in use by: Saskia & Bert  Hostname: NETBOOK  ProcessID: 2492
2719
#           Attempting to use alternate file "./wlft07bddt".
2720
# ** Warning: (vsim-WLF-5001) Could not open waveform log file vsim.wlf.  Using ./wlft07bddt instead.
2721
# ** Note: Cyclone III PLL locked to incoming clock
2722
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u1/altpll_component/cycloneiii_altpll/m5
2723
# ** Note: Cyclone III PLL locked to incoming clock
2724
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u3/altpll_component/cycloneiii_altpll/m5
2725
# ** Note: ESOC Reset -> reset released
2726
#    Time: 1 us  Iteration: 0  Instance: /esoc_tb
2727
# ** Note: ESOC RGMII Preprocessing -> start of processing stimuli for RGMII interfaces
2728
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2729
# ** Note: ESOC RGMII Preprocessing -> packet with length of 86 bytes written to port 00
2730
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2731
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0030 pstart: 0x00 crc: 0x110B2B50 ipg: 0x000C
2732
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2733
# ** Note: ESOC RGMII Preprocessing -> packet with length of 102 bytes written to port 00
2734
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2735
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0040 pstart: 0x00 crc: 0x0CBF189D ipg: 0x000C
2736
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2737
# ** Note: ESOC RGMII Preprocessing -> end of processing stimuli for RGMII interfaces
2738
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2739
# ** Note: ESOC RGMII Transmit -> start of transmitting stimuli to RGMII interfaces
2740
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2741
# ** Note: ESOC Control -> generate read/write cycles on control interface
2742
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2743
# ** Note: ESOC Control -> write 0400005Bh to address 0002h
2744
#    Time: 2120 ns  Iteration: 0  Instance: /esoc_tb
2745
# ** Note: ESOC Control -> write 00022017h to address 0003h
2746
#    Time: 2440 ns  Iteration: 0  Instance: /esoc_tb
2747
# ** Note: ESOC Control -> write 00022017h to address 0004h
2748
#    Time: 2760 ns  Iteration: 0  Instance: /esoc_tb
2749
# ** Note: ESOC Control -> write 0000000Ch to address 0017h
2750
#    Time: 3080 ns  Iteration: 0  Instance: /esoc_tb
2751
# ** Note: ESOC Control -> write 000005EEh to address 0005h
2752
#    Time: 3400 ns  Iteration: 0  Instance: /esoc_tb
2753
# ** Note: ESOC Control -> write 0000000Fh to address 0006h
2754
#    Time: 3720 ns  Iteration: 0  Instance: /esoc_tb
2755
# ** Note: ESOC Control -> write 000007F0h to address 0007h
2756
#    Time: 4040 ns  Iteration: 0  Instance: /esoc_tb
2757
# ** Note: ESOC Control -> write 00000000h to address 0008h
2758
#    Time: 4360 ns  Iteration: 0  Instance: /esoc_tb
2759
# ** Note: ESOC Control -> write 00000003h to address 0009h
2760
#    Time: 4680 ns  Iteration: 0  Instance: /esoc_tb
2761
# ** Note: ESOC Control -> write 00000000h to address 000ah
2762
#    Time: 5 us  Iteration: 0  Instance: /esoc_tb
2763
do restart.do
2764
# ** Warning: (vsim-WLF-5000) Waveform log file vsim.wlf currently in use.
2765
# File in use by: Saskia & Bert  Hostname: NETBOOK  ProcessID: 2492
2766
#           Attempting to use alternate file "./wlft8j90bc".
2767
# ** Warning: (vsim-WLF-5001) Could not open waveform log file vsim.wlf.  Using ./wlft8j90bc instead.
2768
# ** Error: can't read "1": no such variable
2769
# Error in macro ./restart.do line 3
2770
# can't read "1": no such variable
2771
#     while executing
2772
# "run $1 us"
2773
do restart.do 10 us
2774
# ** Warning: (vsim-WLF-5000) Waveform log file vsim.wlf currently in use.
2775
# File in use by: Saskia & Bert  Hostname: NETBOOK  ProcessID: 2492
2776
#           Attempting to use alternate file "./wlfta7x6c4".
2777
# ** Warning: (vsim-WLF-5001) Could not open waveform log file vsim.wlf.  Using ./wlfta7x6c4 instead.
2778
# ** Note: Cyclone III PLL locked to incoming clock
2779
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u1/altpll_component/cycloneiii_altpll/m5
2780
# ** Note: Cyclone III PLL locked to incoming clock
2781
#    Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u3/altpll_component/cycloneiii_altpll/m5
2782
# ** Note: ESOC Reset -> reset released
2783
#    Time: 1 us  Iteration: 0  Instance: /esoc_tb
2784
# ** Note: ESOC RGMII Preprocessing -> start of processing stimuli for RGMII interfaces
2785
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2786
# ** Note: ESOC RGMII Preprocessing -> packet with length of 86 bytes written to port 00
2787
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2788
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0030 pstart: 0x00 crc: 0x110B2B50 ipg: 0x000C
2789
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2790
# ** Note: ESOC RGMII Preprocessing -> packet with length of 102 bytes written to port 00
2791
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2792
# ** Note: ESOC RGMII Preprocessing -> packet details -> dmac: 0x007102200001 smac: 0x007102200002 vid: 0x00000000 type: 0x0800 plen: 0x0040 pstart: 0x00 crc: 0x0CBF189D ipg: 0x000C
2793
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2794
# ** Note: ESOC RGMII Preprocessing -> end of processing stimuli for RGMII interfaces
2795
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2796
# ** Note: ESOC RGMII Transmit -> start of transmitting stimuli to RGMII interfaces
2797
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2798
# ** Note: ESOC Control -> generate read/write cycles on control interface
2799
#    Time: 2 us  Iteration: 0  Instance: /esoc_tb
2800
# ** Note: ESOC Control -> write 0400005Bh to address 0002h
2801
#    Time: 2120 ns  Iteration: 0  Instance: /esoc_tb
2802
# ** Note: ESOC Control -> write 00022017h to address 0003h
2803
#    Time: 2440 ns  Iteration: 0  Instance: /esoc_tb
2804
# ** Note: ESOC Control -> write 00022017h to address 0004h
2805
#    Time: 2760 ns  Iteration: 0  Instance: /esoc_tb
2806
# ** Note: ESOC Control -> write 0000000Ch to address 0017h
2807
#    Time: 3080 ns  Iteration: 0  Instance: /esoc_tb
2808
# ** Note: ESOC Control -> write 000005EEh to address 0005h
2809
#    Time: 3400 ns  Iteration: 0  Instance: /esoc_tb
2810
# ** Note: ESOC Control -> write 0000000Fh to address 0006h
2811
#    Time: 3720 ns  Iteration: 0  Instance: /esoc_tb
2812
# ** Note: ESOC Control -> write 000007F0h to address 0007h
2813
#    Time: 4040 ns  Iteration: 0  Instance: /esoc_tb
2814
# ** Note: ESOC Control -> write 00000000h to address 0008h
2815
#    Time: 4360 ns  Iteration: 0  Instance: /esoc_tb
2816
# ** Note: ESOC Control -> write 00000003h to address 0009h
2817
#    Time: 4680 ns  Iteration: 0  Instance: /esoc_tb
2818
# ** Note: ESOC Control -> write 00000000h to address 000ah
2819
#    Time: 5 us  Iteration: 0  Instance: /esoc_tb
2820
# ** Note: ESOC Control -> write 00000008h to address 000bh
2821
#    Time: 5320 ns  Iteration: 0  Instance: /esoc_tb
2822
# ** Note: ESOC Control -> write 00000008h to address 000ch
2823
#    Time: 5640 ns  Iteration: 0  Instance: /esoc_tb
2824
# ** Note: ESOC Control -> write 00000008h to address 000dh
2825
#    Time: 5960 ns  Iteration: 0  Instance: /esoc_tb
2826
# ** Note: ESOC Control -> write 00000008h to address 000eh
2827
#    Time: 6280 ns  Iteration: 0  Instance: /esoc_tb
2828
# ** Note: ESOC Control -> write 00000000h to address 003ah
2829
#    Time: 6600 ns  Iteration: 0  Instance: /esoc_tb
2830
# ** Note: ESOC Control -> write 00000000h to address 003bh
2831
#    Time: 6920 ns  Iteration: 0  Instance: /esoc_tb
2832
# ** Note: ESOC Control -> read from address 0002h 0400005Bh, expected 0400005Bh, status: OK
2833
#    Time: 7260 ns  Iteration: 0  Instance: /esoc_tb
2834
# ** Note: ESOC Control -> read from address 0003h 00022017h, expected 0400005Bh, status: ERROR
2835
#    Time: 7600 ns  Iteration: 0  Instance: /esoc_tb
2836
# ** Note: ESOC Control -> read from address 0004h 00002017h, expected 0400005Bh, status: ERROR
2837
#    Time: 7940 ns  Iteration: 0  Instance: /esoc_tb
2838
# ** Note: ESOC Control -> read from address 0005h 000005EEh, expected 000005EEh, status: OK
2839
#    Time: 8280 ns  Iteration: 0  Instance: /esoc_tb
2840
# ** Note: ESOC Control -> read from address 0006h 0000000Fh, expected 0000000Fh, status: OK
2841
#    Time: 8620 ns  Iteration: 0  Instance: /esoc_tb
2842
# ** Note: ESOC Control -> read from address 0007h 000007F0h, expected 00000400h, status: ERROR
2843
#    Time: 8960 ns  Iteration: 0  Instance: /esoc_tb
2844
# ** Note: ESOC Control -> read from address 0008h 00000000h, expected 00000000h, status: OK
2845
#    Time: 9300 ns  Iteration: 0  Instance: /esoc_tb
2846
# ** Note: ESOC Control -> read from address 0009h 00000003h, expected 000007F8h, status: ERROR
2847
#    Time: 9640 ns  Iteration: 0  Instance: /esoc_tb
2848
# ** Note: ESOC Control -> read from address 000ah 00000000h, expected 00000000h, status: OK
2849
#    Time: 9980 ns  Iteration: 0  Instance: /esoc_tb
2850
do restart.do 10 us
2851
# ** Warning: (vsim-WLF-5000) Waveform log file vsim.wlf currently in use.
2852
# File in use by: Saskia & Bert  Hostname: NETBOOK  ProcessID: 2492
2853
#           Attempting to use alternate file "./wlftsz23z1".
2854
# ** Warning: (vsim-WLF-5001) Could not open waveform log file vsim.wlf.  Using ./wlftsz23z1 instead.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.