OpenCores
URL https://opencores.org/ocsvn/esoc/esoc/trunk

Subversion Repositories esoc

[/] [esoc/] [trunk/] [Sources/] [altera/] [esoc_ram_nkx80/] [esoc_ram_8kx80.vhd] - Blame information for rev 42

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 42 lmaarsen
-- megafunction wizard: %RAM: 2-PORT%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: altsyncram 
5
 
6
-- ============================================================
7
-- File Name: esoc_ram_8kx80.vhd
8
-- Megafunction Name(s):
9
--                      altsyncram
10
--
11
-- Simulation Library Files(s):
12
--                      altera_mf
13
-- ============================================================
14
-- ************************************************************
15
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
--
17
-- 10.1 Build 197 01/19/2011 SP 1 SJ Full Version
18
-- ************************************************************
19
 
20
 
21
--Copyright (C) 1991-2011 Altera Corporation
22
--Your use of Altera Corporation's design tools, logic functions 
23
--and other software and tools, and its AMPP partner logic 
24
--functions, and any output files from any of the foregoing 
25
--(including device programming or simulation files), and any 
26
--associated documentation or information are expressly subject 
27
--to the terms and conditions of the Altera Program License 
28
--Subscription Agreement, Altera MegaCore Function License 
29
--Agreement, or other applicable license agreement, including, 
30
--without limitation, that your use is for the sole purpose of 
31
--programming logic devices manufactured by Altera and sold by 
32
--Altera or its authorized distributors.  Please refer to the 
33
--applicable agreement for further details.
34
 
35
 
36
LIBRARY ieee;
37
USE ieee.std_logic_1164.all;
38
 
39
LIBRARY altera_mf;
40
USE altera_mf.all;
41
 
42
ENTITY esoc_ram_8kx80 IS
43
        PORT
44
        (
45
                address_a               : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
46
                address_b               : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
47
                clock           : IN STD_LOGIC  := '1';
48
                data_a          : IN STD_LOGIC_VECTOR (79 DOWNTO 0);
49
                data_b          : IN STD_LOGIC_VECTOR (79 DOWNTO 0);
50
                rden_a          : IN STD_LOGIC  := '1';
51
                rden_b          : IN STD_LOGIC  := '1';
52
                wren_a          : IN STD_LOGIC  := '0';
53
                wren_b          : IN STD_LOGIC  := '0';
54
                q_a             : OUT STD_LOGIC_VECTOR (79 DOWNTO 0);
55
                q_b             : OUT STD_LOGIC_VECTOR (79 DOWNTO 0)
56
        );
57
END esoc_ram_8kx80;
58
 
59
 
60
ARCHITECTURE SYN OF esoc_ram_8kx80 IS
61
 
62
        SIGNAL sub_wire0        : STD_LOGIC_VECTOR (79 DOWNTO 0);
63
        SIGNAL sub_wire1        : STD_LOGIC_VECTOR (79 DOWNTO 0);
64
 
65
 
66
 
67
        COMPONENT altsyncram
68
        GENERIC (
69
                address_reg_b           : STRING;
70
                clock_enable_input_a            : STRING;
71
                clock_enable_input_b            : STRING;
72
                clock_enable_output_a           : STRING;
73
                clock_enable_output_b           : STRING;
74
                indata_reg_b            : STRING;
75
                intended_device_family          : STRING;
76
                lpm_type                : STRING;
77
                numwords_a              : NATURAL;
78
                numwords_b              : NATURAL;
79
                operation_mode          : STRING;
80
                outdata_aclr_a          : STRING;
81
                outdata_aclr_b          : STRING;
82
                outdata_reg_a           : STRING;
83
                outdata_reg_b           : STRING;
84
                power_up_uninitialized          : STRING;
85
                read_during_write_mode_mixed_ports              : STRING;
86
                read_during_write_mode_port_a           : STRING;
87
                read_during_write_mode_port_b           : STRING;
88
                widthad_a               : NATURAL;
89
                widthad_b               : NATURAL;
90
                width_a         : NATURAL;
91
                width_b         : NATURAL;
92
                width_byteena_a         : NATURAL;
93
                width_byteena_b         : NATURAL;
94
                wrcontrol_wraddress_reg_b               : STRING
95
        );
96
        PORT (
97
                        clock0  : IN STD_LOGIC ;
98
                        wren_a  : IN STD_LOGIC ;
99
                        address_b       : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
100
                        data_b  : IN STD_LOGIC_VECTOR (79 DOWNTO 0);
101
                        q_a     : OUT STD_LOGIC_VECTOR (79 DOWNTO 0);
102
                        rden_a  : IN STD_LOGIC ;
103
                        wren_b  : IN STD_LOGIC ;
104
                        address_a       : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
105
                        data_a  : IN STD_LOGIC_VECTOR (79 DOWNTO 0);
106
                        q_b     : OUT STD_LOGIC_VECTOR (79 DOWNTO 0);
107
                        rden_b  : IN STD_LOGIC
108
        );
109
        END COMPONENT;
110
 
111
BEGIN
112
        q_a    <= sub_wire0(79 DOWNTO 0);
113
        q_b    <= sub_wire1(79 DOWNTO 0);
114
 
115
        altsyncram_component : altsyncram
116
        GENERIC MAP (
117
                address_reg_b => "CLOCK0",
118
                clock_enable_input_a => "BYPASS",
119
                clock_enable_input_b => "BYPASS",
120
                clock_enable_output_a => "BYPASS",
121
                clock_enable_output_b => "BYPASS",
122
                indata_reg_b => "CLOCK0",
123
                intended_device_family => "Cyclone III",
124
                lpm_type => "altsyncram",
125
                numwords_a => 8192,
126
                numwords_b => 8192,
127
                operation_mode => "BIDIR_DUAL_PORT",
128
                outdata_aclr_a => "NONE",
129
                outdata_aclr_b => "NONE",
130
                outdata_reg_a => "UNREGISTERED",
131
                outdata_reg_b => "UNREGISTERED",
132
                power_up_uninitialized => "FALSE",
133
                read_during_write_mode_mixed_ports => "OLD_DATA",
134
                read_during_write_mode_port_a => "OLD_DATA",
135
                read_during_write_mode_port_b => "OLD_DATA",
136
                widthad_a => 13,
137
                widthad_b => 13,
138
                width_a => 80,
139
                width_b => 80,
140
                width_byteena_a => 1,
141
                width_byteena_b => 1,
142
                wrcontrol_wraddress_reg_b => "CLOCK0"
143
        )
144
        PORT MAP (
145
                clock0 => clock,
146
                wren_a => wren_a,
147
                address_b => address_b,
148
                data_b => data_b,
149
                rden_a => rden_a,
150
                wren_b => wren_b,
151
                address_a => address_a,
152
                data_a => data_a,
153
                rden_b => rden_b,
154
                q_a => sub_wire0,
155
                q_b => sub_wire1
156
        );
157
 
158
 
159
 
160
END SYN;
161
 
162
-- ============================================================
163
-- CNX file retrieval info
164
-- ============================================================
165
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
166
-- Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0"
167
-- Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0"
168
-- Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0"
169
-- Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0"
170
-- Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0"
171
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
172
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "1"
173
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
174
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0"
175
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
176
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0"
177
-- Retrieval info: PRIVATE: CLRdata NUMERIC "0"
178
-- Retrieval info: PRIVATE: CLRq NUMERIC "0"
179
-- Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0"
180
-- Retrieval info: PRIVATE: CLRrren NUMERIC "0"
181
-- Retrieval info: PRIVATE: CLRwraddress NUMERIC "0"
182
-- Retrieval info: PRIVATE: CLRwren NUMERIC "0"
183
-- Retrieval info: PRIVATE: Clock NUMERIC "0"
184
-- Retrieval info: PRIVATE: Clock_A NUMERIC "0"
185
-- Retrieval info: PRIVATE: Clock_B NUMERIC "0"
186
-- Retrieval info: PRIVATE: ECC NUMERIC "0"
187
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
188
-- Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0"
189
-- Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "1"
190
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
191
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
192
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
193
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
194
-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
195
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
196
-- Retrieval info: PRIVATE: MEMSIZE NUMERIC "655360"
197
-- Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0"
198
-- Retrieval info: PRIVATE: MIFfilename STRING "esoc_ram_4kx1.mif"
199
-- Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "3"
200
-- Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0"
201
-- Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0"
202
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
203
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "1"
204
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "1"
205
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "1"
206
-- Retrieval info: PRIVATE: REGdata NUMERIC "1"
207
-- Retrieval info: PRIVATE: REGq NUMERIC "0"
208
-- Retrieval info: PRIVATE: REGrdaddress NUMERIC "0"
209
-- Retrieval info: PRIVATE: REGrren NUMERIC "1"
210
-- Retrieval info: PRIVATE: REGwraddress NUMERIC "1"
211
-- Retrieval info: PRIVATE: REGwren NUMERIC "1"
212
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
213
-- Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0"
214
-- Retrieval info: PRIVATE: UseDPRAM NUMERIC "1"
215
-- Retrieval info: PRIVATE: VarWidth NUMERIC "0"
216
-- Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "80"
217
-- Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "80"
218
-- Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "80"
219
-- Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "80"
220
-- Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0"
221
-- Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "1"
222
-- Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0"
223
-- Retrieval info: PRIVATE: enable NUMERIC "0"
224
-- Retrieval info: PRIVATE: rden NUMERIC "1"
225
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
226
-- Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0"
227
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
228
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS"
229
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
230
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS"
231
-- Retrieval info: CONSTANT: INDATA_REG_B STRING "CLOCK0"
232
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
233
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
234
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "8192"
235
-- Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "8192"
236
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "BIDIR_DUAL_PORT"
237
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
238
-- Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE"
239
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"
240
-- Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED"
241
-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
242
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "OLD_DATA"
243
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "OLD_DATA"
244
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_B STRING "OLD_DATA"
245
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "13"
246
-- Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "13"
247
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "80"
248
-- Retrieval info: CONSTANT: WIDTH_B NUMERIC "80"
249
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
250
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_B NUMERIC "1"
251
-- Retrieval info: CONSTANT: WRCONTROL_WRADDRESS_REG_B STRING "CLOCK0"
252
-- Retrieval info: USED_PORT: address_a 0 0 13 0 INPUT NODEFVAL "address_a[12..0]"
253
-- Retrieval info: USED_PORT: address_b 0 0 13 0 INPUT NODEFVAL "address_b[12..0]"
254
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
255
-- Retrieval info: USED_PORT: data_a 0 0 80 0 INPUT NODEFVAL "data_a[79..0]"
256
-- Retrieval info: USED_PORT: data_b 0 0 80 0 INPUT NODEFVAL "data_b[79..0]"
257
-- Retrieval info: USED_PORT: q_a 0 0 80 0 OUTPUT NODEFVAL "q_a[79..0]"
258
-- Retrieval info: USED_PORT: q_b 0 0 80 0 OUTPUT NODEFVAL "q_b[79..0]"
259
-- Retrieval info: USED_PORT: rden_a 0 0 0 0 INPUT VCC "rden_a"
260
-- Retrieval info: USED_PORT: rden_b 0 0 0 0 INPUT VCC "rden_b"
261
-- Retrieval info: USED_PORT: wren_a 0 0 0 0 INPUT GND "wren_a"
262
-- Retrieval info: USED_PORT: wren_b 0 0 0 0 INPUT GND "wren_b"
263
-- Retrieval info: CONNECT: @address_a 0 0 13 0 address_a 0 0 13 0
264
-- Retrieval info: CONNECT: @address_b 0 0 13 0 address_b 0 0 13 0
265
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
266
-- Retrieval info: CONNECT: @data_a 0 0 80 0 data_a 0 0 80 0
267
-- Retrieval info: CONNECT: @data_b 0 0 80 0 data_b 0 0 80 0
268
-- Retrieval info: CONNECT: @rden_a 0 0 0 0 rden_a 0 0 0 0
269
-- Retrieval info: CONNECT: @rden_b 0 0 0 0 rden_b 0 0 0 0
270
-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren_a 0 0 0 0
271
-- Retrieval info: CONNECT: @wren_b 0 0 0 0 wren_b 0 0 0 0
272
-- Retrieval info: CONNECT: q_a 0 0 80 0 @q_a 0 0 80 0
273
-- Retrieval info: CONNECT: q_b 0 0 80 0 @q_b 0 0 80 0
274
-- Retrieval info: GEN_FILE: TYPE_NORMAL esoc_ram_8kx80.vhd TRUE
275
-- Retrieval info: GEN_FILE: TYPE_NORMAL esoc_ram_8kx80.inc TRUE
276
-- Retrieval info: GEN_FILE: TYPE_NORMAL esoc_ram_8kx80.cmp TRUE
277
-- Retrieval info: GEN_FILE: TYPE_NORMAL esoc_ram_8kx80.bsf TRUE
278
-- Retrieval info: GEN_FILE: TYPE_NORMAL esoc_ram_8kx80_inst.vhd TRUE
279
-- Retrieval info: GEN_FILE: TYPE_NORMAL esoc_ram_8kx80_waveforms.html TRUE
280
-- Retrieval info: GEN_FILE: TYPE_NORMAL esoc_ram_8kx80_wave*.jpg FALSE
281
-- Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.