OpenCores
URL https://opencores.org/ocsvn/esoc/esoc/trunk

Subversion Repositories esoc

[/] [esoc/] [trunk/] [Sources/] [logixa/] [esoc_port_mal.vhd] - Blame information for rev 53

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 42 lmaarsen
--------------------------------------------------------------------------------
2 53 lmaarsen
--
3
-- This VHDL file was generated by EASE/HDL 7.4 Revision 4 from HDL Works B.V.
4
--
5
-- Ease library  : work
6
-- HDL library   : work
7
-- Host name     : S212065
8
-- User name     : df768
9
-- Time stamp    : Tue Aug 19 08:05:18 2014
10
--
11
-- Designed by   : L.Maarsen
12
-- Company       : LogiXA
13
-- Project info  : eSoC
14
--
15 42 lmaarsen
--------------------------------------------------------------------------------
16 53 lmaarsen
 
17 42 lmaarsen
--------------------------------------------------------------------------------
18
-- Object        : Entity work.esoc_port_mal
19
-- Last modified : Mon Apr 14 12:48:57 2014.
20
--------------------------------------------------------------------------------
21
 
22
 
23
 
24
library ieee, std, work;
25
use ieee.std_logic_1164.all;
26
use std.textio.all;
27
use ieee.numeric_std.all;
28
use work.package_esoc_configuration.all;
29
 
30
entity esoc_port_mal is
31
  generic(
32
    esoc_port_nr : integer := 0);
33
  port(
34
    clk_control          : in     STD_LOGIC;
35
    clk_rgmii            : out    std_logic;
36
    clk_rgmii_125m       : in     std_logic;
37
    clk_rgmii_25m        : in     std_logic;
38
    clk_rgmii_2m5        : in     std_logic;
39
    ctrl_address         : in     std_logic_vector(15 downto 0);
40
    ctrl_rd              : in     std_logic := '0';
41
    ctrl_rddata          : out    std_logic_vector(31 downto 0);
42
    ctrl_wait            : out    std_logic;
43
    ctrl_wr              : in     std_logic;
44
    ctrl_wrdata          : in     std_logic_vector(31 downto 0);
45
    ena_10               : in     STD_LOGIC;
46
    eth_mode             : in     STD_LOGIC;
47
    ff_rx_a_empty        : in     STD_LOGIC;
48
    ff_rx_a_full         : in     STD_LOGIC;
49
    ff_rx_data           : in     STD_LOGIC_VECTOR(31 downto 0);
50
    ff_rx_dsav           : in     STD_LOGIC;
51
    ff_rx_dval           : in     STD_LOGIC;
52
    ff_rx_eop            : in     STD_LOGIC;
53
    ff_rx_mod            : in     STD_LOGIC_VECTOR(1 downto 0);
54
    ff_rx_rdy            : out    STD_LOGIC;
55
    ff_rx_sop            : in     STD_LOGIC;
56
    ff_tx_a_empty        : in     STD_LOGIC;
57
    ff_tx_a_full         : in     STD_LOGIC;
58
    ff_tx_crc_fwd        : out    STD_LOGIC;
59
    ff_tx_data           : out    STD_LOGIC_VECTOR(31 downto 0);
60
    ff_tx_eop            : out    STD_LOGIC;
61
    ff_tx_err            : out    STD_LOGIC;
62
    ff_tx_mod            : out    STD_LOGIC_VECTOR(1 downto 0);
63
    ff_tx_rdy            : in     STD_LOGIC;
64
    ff_tx_septy          : in     STD_LOGIC;
65
    ff_tx_sop            : out    STD_LOGIC;
66
    ff_tx_wren           : out    STD_LOGIC;
67
    inbound_data         : out    std_logic_vector(31 downto 0);
68
    inbound_data_full    : in     std_logic;
69
    inbound_data_write   : out    std_logic;
70
    inbound_header       : out    std_logic_vector(111 downto 0);
71
    inbound_header_write : out    std_logic;
72
    inbound_info         : out    std_logic_vector(31 downto 0);
73
    inbound_info_write   : out    std_logic;
74
    magic_sleep_n        : out    STD_LOGIC := '1';
75
    magic_wakeup         : in     STD_LOGIC;
76
    outbound_data        : in     std_logic_vector(31 downto 0);
77
    outbound_data_read   : out    std_logic;
78
    outbound_info        : in     std_logic_vector(15 downto 0);
79
    outbound_info_empty  : in     std_logic;
80
    outbound_info_read   : out    std_logic;
81
    reset                : in     STD_LOGIC;
82
    rx_err_stat          : in     STD_LOGIC_VECTOR(17 downto 0);
83
    rx_frm_type          : in     STD_LOGIC_VECTOR(3 downto 0);
84
    set_10               : out    STD_LOGIC := '0'; -- '0'
85
    set_1000             : out    STD_LOGIC := '0';
86
    tx_ff_uflow          : in     STD_LOGIC;
87
    xoff_gen             : out    STD_LOGIC;
88
    xon_gen              : out    STD_LOGIC);
89
end entity esoc_port_mal;
90
 
91
--------------------------------------------------------------------------------
92
-- Object        : Architecture work.esoc_port_mal.esoc_port_mal
93
-- Last modified : Mon Apr 14 12:48:57 2014.
94
--------------------------------------------------------------------------------
95
 
96
architecture esoc_port_mal of esoc_port_mal is
97
 
98
  signal port_vlan_default      : std_logic_vector(15 downto 0);
99
  signal force_vlan_default_in  : std_logic;
100
  signal force_vlan_default_out : std_logic;
101
 
102
  component esoc_port_mal_control
103
    generic(
104
      esoc_port_nr : integer := 0);
105
    port(
106
      clk_control            : in     STD_LOGIC;
107
      ctrl_address           : in     std_logic_vector(15 downto 0);
108
      ctrl_rd                : in     std_logic := '0';
109
      ctrl_rddata            : out    std_logic_vector(31 downto 0);
110
      ctrl_wait              : out    STD_LOGIC;
111
      ctrl_wr                : in     std_logic;
112
      ctrl_wrdata            : in     std_logic_vector(31 downto 0);
113
      force_vlan_default_in  : out    std_logic;
114
      force_vlan_default_out : out    std_logic;
115
      magic_sleep_n          : out    STD_LOGIC := '1';
116
      magic_wakeup           : in     STD_LOGIC;
117
      port_vlan_default      : out    std_logic_vector(15 downto 0);
118
      reset                  : in     STD_LOGIC;
119
      xoff_gen               : out    STD_LOGIC;
120
      xon_gen                : out    STD_LOGIC);
121
  end component esoc_port_mal_control;
122
 
123
  component esoc_port_mal_inbound
124
    port(
125
      clk_control           : in     STD_LOGIC;
126
      ff_rx_a_empty         : in     STD_LOGIC;
127
      ff_rx_a_full          : in     STD_LOGIC;
128
      ff_rx_data            : in     STD_LOGIC_VECTOR(31 downto 0);
129
      ff_rx_dsav            : in     STD_LOGIC;
130
      ff_rx_dval            : in     STD_LOGIC;
131
      ff_rx_eop             : in     STD_LOGIC;
132
      ff_rx_mod             : in     STD_LOGIC_VECTOR(1 downto 0);
133
      ff_rx_rdy             : out    STD_LOGIC;
134
      ff_rx_sop             : in     STD_LOGIC;
135
      force_vlan_default_in : in     std_logic;
136
      inbound_data          : out    std_logic_vector(31 downto 0);
137
      inbound_data_full     : in     std_logic;
138
      inbound_data_write    : out    std_logic;
139
      inbound_header        : out    std_logic_vector(111 downto 0);
140
      inbound_header_write  : out    std_logic;
141
      inbound_info          : out    std_logic_vector(31 downto 0);
142
      inbound_info_write    : out    std_logic;
143
      port_vlan_default     : in     std_logic_vector(15 downto 0);
144
      reset                 : in     STD_LOGIC;
145
      rx_err_stat           : in     STD_LOGIC_VECTOR(17 downto 0);
146
      rx_frm_type           : in     STD_LOGIC_VECTOR(3 downto 0));
147
  end component esoc_port_mal_inbound;
148
 
149
  component esoc_port_mal_outbound
150
    port(
151
      clk_control            : in     STD_LOGIC;
152
      ff_tx_a_empty          : in     STD_LOGIC;
153
      ff_tx_a_full           : in     STD_LOGIC;
154
      ff_tx_crc_fwd          : out    STD_LOGIC;
155
      ff_tx_data             : out    STD_LOGIC_VECTOR(31 downto 0);
156
      ff_tx_eop              : out    STD_LOGIC;
157
      ff_tx_err              : out    STD_LOGIC;
158
      ff_tx_mod              : out    STD_LOGIC_VECTOR(1 downto 0);
159
      ff_tx_rdy              : in     STD_LOGIC;
160
      ff_tx_septy            : in     STD_LOGIC;
161
      ff_tx_sop              : out    STD_LOGIC;
162
      ff_tx_wren             : out    STD_LOGIC;
163
      force_vlan_default_out : in     std_logic;
164
      outbound_data          : in     std_logic_vector(31 downto 0);
165
      outbound_data_read     : out    std_logic;
166
      outbound_info          : in     std_logic_vector(15 downto 0);
167
      outbound_info_empty    : in     std_logic;
168
      outbound_info_read     : out    std_logic;
169
      port_vlan_default      : in     std_logic_vector(15 downto 0);
170
      reset                  : in     STD_LOGIC;
171
      tx_ff_uflow            : in     STD_LOGIC);
172
  end component esoc_port_mal_outbound;
173
 
174
  component esoc_port_mal_clock
175
    port(
176
      clk_control    : in     STD_LOGIC;
177
      clk_rgmii      : out    std_logic;
178
      clk_rgmii_125m : in     std_logic;
179
      clk_rgmii_25m  : in     std_logic;
180
      clk_rgmii_2m5  : in     std_logic;
181
      ena_10         : in     STD_LOGIC;
182
      eth_mode       : in     STD_LOGIC;
183
      reset          : in     STD_LOGIC;
184
      set_10         : out    STD_LOGIC := '0'; -- '0'
185
      set_1000       : out    STD_LOGIC := '0');
186
  end component esoc_port_mal_clock;
187
 
188
begin
189
  u3: esoc_port_mal_control
190
    generic map(
191
      esoc_port_nr => esoc_port_nr)
192
    port map(
193
      clk_control            => clk_control,
194
      ctrl_address           => ctrl_address,
195
      ctrl_rd                => ctrl_rd,
196
      ctrl_rddata            => ctrl_rddata,
197
      ctrl_wait              => ctrl_wait,
198
      ctrl_wr                => ctrl_wr,
199
      ctrl_wrdata            => ctrl_wrdata,
200
      force_vlan_default_in  => force_vlan_default_in,
201
      force_vlan_default_out => force_vlan_default_out,
202
      magic_sleep_n          => magic_sleep_n,
203
      magic_wakeup           => magic_wakeup,
204
      port_vlan_default      => port_vlan_default,
205
      reset                  => reset,
206
      xoff_gen               => xoff_gen,
207
      xon_gen                => xon_gen);
208
 
209
  u0: esoc_port_mal_inbound
210
    port map(
211
      clk_control           => clk_control,
212
      ff_rx_a_empty         => ff_rx_a_empty,
213
      ff_rx_a_full          => ff_rx_a_full,
214
      ff_rx_data            => ff_rx_data,
215
      ff_rx_dsav            => ff_rx_dsav,
216
      ff_rx_dval            => ff_rx_dval,
217
      ff_rx_eop             => ff_rx_eop,
218
      ff_rx_mod             => ff_rx_mod,
219
      ff_rx_rdy             => ff_rx_rdy,
220
      ff_rx_sop             => ff_rx_sop,
221
      force_vlan_default_in => force_vlan_default_in,
222
      inbound_data          => inbound_data,
223
      inbound_data_full     => inbound_data_full,
224
      inbound_data_write    => inbound_data_write,
225
      inbound_header        => inbound_header,
226
      inbound_header_write  => inbound_header_write,
227
      inbound_info          => inbound_info,
228
      inbound_info_write    => inbound_info_write,
229
      port_vlan_default     => port_vlan_default,
230
      reset                 => reset,
231
      rx_err_stat           => rx_err_stat,
232
      rx_frm_type           => rx_frm_type);
233
 
234
  u1: esoc_port_mal_outbound
235
    port map(
236
      clk_control            => clk_control,
237
      ff_tx_a_empty          => ff_tx_a_empty,
238
      ff_tx_a_full           => ff_tx_a_full,
239
      ff_tx_crc_fwd          => ff_tx_crc_fwd,
240
      ff_tx_data             => ff_tx_data,
241
      ff_tx_eop              => ff_tx_eop,
242
      ff_tx_err              => ff_tx_err,
243
      ff_tx_mod              => ff_tx_mod,
244
      ff_tx_rdy              => ff_tx_rdy,
245
      ff_tx_septy            => ff_tx_septy,
246
      ff_tx_sop              => ff_tx_sop,
247
      ff_tx_wren             => ff_tx_wren,
248
      force_vlan_default_out => force_vlan_default_out,
249
      outbound_data          => outbound_data,
250
      outbound_data_read     => outbound_data_read,
251
      outbound_info          => outbound_info,
252
      outbound_info_empty    => outbound_info_empty,
253
      outbound_info_read     => outbound_info_read,
254
      port_vlan_default      => port_vlan_default,
255
      reset                  => reset,
256
      tx_ff_uflow            => tx_ff_uflow);
257
 
258
  u2: esoc_port_mal_clock
259
    port map(
260
      clk_control    => clk_control,
261
      clk_rgmii      => clk_rgmii,
262
      clk_rgmii_125m => clk_rgmii_125m,
263
      clk_rgmii_25m  => clk_rgmii_25m,
264
      clk_rgmii_2m5  => clk_rgmii_2m5,
265
      ena_10         => ena_10,
266
      eth_mode       => eth_mode,
267
      reset          => reset,
268
      set_10         => set_10,
269
      set_1000       => set_1000);
270
 
271
end architecture esoc_port_mal ; -- of esoc_port_mal
272
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.