OpenCores
URL https://opencores.org/ocsvn/esoc/esoc/trunk

Subversion Repositories esoc

[/] [esoc/] [trunk/] [Sources/] [logixa/] [esoc_reset.vhd] - Blame information for rev 53

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 42 lmaarsen
--------------------------------------------------------------------------------
2 53 lmaarsen
--
3
-- This VHDL file was generated by EASE/HDL 7.4 Revision 4 from HDL Works B.V.
4
--
5
-- Ease library  : work
6
-- HDL library   : work
7
-- Host name     : S212065
8
-- User name     : df768
9
-- Time stamp    : Tue Aug 19 08:05:18 2014
10
--
11
-- Designed by   : L.Maarsen
12
-- Company       : LogiXA
13
-- Project info  : eSoC
14
--
15 42 lmaarsen
--------------------------------------------------------------------------------
16 53 lmaarsen
 
17 42 lmaarsen
--------------------------------------------------------------------------------
18
-- Object        : Entity work.esoc_reset
19
-- Last modified : Mon Apr 14 12:49:49 2014.
20
--------------------------------------------------------------------------------
21
 
22
 
23
 
24
library ieee, std, work;
25
use ieee.std_logic_1164.all;
26
use std.textio.all;
27
use ieee.numeric_std.all;
28
use work.package_esoc_configuration.all;
29
 
30
entity esoc_reset is
31
  port(
32
    clk_control : in     std_logic;
33
    esoc_areset : in     std_logic;
34
    pll1_locked : in     STD_LOGIC;
35
    pll2_locked : in     STD_LOGIC;
36
    reset       : out    std_logic);
37
end entity esoc_reset;
38
 
39
--------------------------------------------------------------------------------
40
-- Object        : Architecture work.esoc_reset.esoc_reset
41
-- Last modified : Mon Apr 14 12:49:49 2014.
42
--------------------------------------------------------------------------------
43
 
44
 
45
---------------------------------------------------------------------------------------------------------------
46
-- architecture and declarations
47
---------------------------------------------------------------------------------------------------------------
48
architecture esoc_reset of esoc_reset is
49
 
50
---------------------------------------------------------------------------------------------------------------
51
-- signals
52
---------------------------------------------------------------------------------------------------------------
53
signal esoc_areset_sync   : std_logic_vector(1 downto 0);
54
 
55
begin
56
 
57
--=============================================================================================================
58
-- Process                : synchronise asynchronous reset input plus filtering
59
-- Description  : 
60
--=============================================================================================================
61
sync:   process(clk_control,pll1_locked,pll2_locked)
62
        begin
63
          -- keep device in reset if pll's not locked
64
          if pll1_locked = '0' or pll2_locked = '0' then
65
            esoc_areset_sync <= (others => '1');
66
 
67
          -- synchronise external reset
68
          elsif clk_control'event and clk_control = '1' then
69
            esoc_areset_sync <= esoc_areset & esoc_areset_sync(esoc_areset_sync'high downto 1);
70
 
71
          end if;
72
              end process;
73
 
74
        reset <= esoc_areset_sync(0);
75
 
76
end architecture esoc_reset ; -- of esoc_reset
77
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.