OpenCores
URL https://opencores.org/ocsvn/ethernet_tri_mode/ethernet_tri_mode/trunk

Subversion Repositories ethernet_tri_mode

[/] [ethernet_tri_mode/] [trunk/] [rtl/] [verilog/] [MAC_tx/] [CRC_gen.v] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 maverickis
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  CRC_gen.v                                                   ////
4
////                                                              ////
5
////  This file is part of the Ethernet IP core project           ////
6
////  http://www.opencores.org/projects.cgi/web/ethernet_tri_mode/////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Jon Gao (gaojon@yahoo.com)                            ////
10
////                                                              ////
11
////                                                              ////
12
//////////////////////////////////////////////////////////////////////
13
////                                                              ////
14
//// Copyright (C) 2001 Authors                                   ////
15
////                                                              ////
16
//// This source file may be used and distributed without         ////
17
//// restriction provided that this copyright statement is not    ////
18
//// removed from the file and that any derivative work contains  ////
19
//// the original copyright notice and the associated disclaimer. ////
20
////                                                              ////
21
//// This source file is free software; you can redistribute it   ////
22
//// and/or modify it under the terms of the GNU Lesser General   ////
23
//// Public License as published by the Free Software Foundation; ////
24
//// either version 2.1 of the License, or (at your option) any   ////
25
//// later version.                                               ////
26
////                                                              ////
27
//// This source is distributed in the hope that it will be       ////
28
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
29
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
30
//// PURPOSE.  See the GNU Lesser General Public License for more ////
31
//// details.                                                     ////
32
////                                                              ////
33
//// You should have received a copy of the GNU Lesser General    ////
34
//// Public License along with this source; if not, download it   ////
35
//// from http://www.opencores.org/lgpl.shtml                     ////
36
////                                                              ////
37
//////////////////////////////////////////////////////////////////////
38
//                                                                    
39
// CVS Revision History                                               
40
//                                                                    
41 6 maverickis
// $Log: not supported by cvs2svn $
42
// Revision 1.1.1.1  2005/12/13 01:51:45  Administrator
43
// no message
44
//                                           
45 5 maverickis
 
46
module CRC_gen (
47
Reset           ,
48
Clk             ,
49
Init        ,
50
Frame_data      ,
51
Data_en     ,
52
CRC_rd          ,
53
CRC_end         ,
54
CRC_out
55
 
56
);
57
input                   Reset           ;
58
input                   Clk             ;
59
input                   Init        ;
60
input[7:0]               Frame_data      ;
61
input                   Data_en     ;
62
input                   CRC_rd          ;
63
output[7:0]              CRC_out     ;
64
output                  CRC_end         ;
65
 
66
//******************************************************************************   
67
//internal signals                                                              
68
//******************************************************************************
69
reg[7:0]         CRC_out     ;
70
reg[31:0]                CRC_reg;
71
reg                             CRC_end;
72
reg[3:0]         Counter;
73
//******************************************************************************
74
//******************************************************************************
75
//input data width is 8bit, and the first bit is bit[0]
76
function[31:0]   NextCRC;
77
        input[7:0]               D;
78
        input[31:0]              C;
79
        reg[31:0]                NewCRC;
80
        begin
81
        NewCRC[0]=C[24]^C[30]^D[1]^D[7];
82
        NewCRC[1]=C[25]^C[31]^D[0]^D[6]^C[24]^C[30]^D[1]^D[7];
83
        NewCRC[2]=C[26]^D[5]^C[25]^C[31]^D[0]^D[6]^C[24]^C[30]^D[1]^D[7];
84
        NewCRC[3]=C[27]^D[4]^C[26]^D[5]^C[25]^C[31]^D[0]^D[6];
85
        NewCRC[4]=C[28]^D[3]^C[27]^D[4]^C[26]^D[5]^C[24]^C[30]^D[1]^D[7];
86
        NewCRC[5]=C[29]^D[2]^C[28]^D[3]^C[27]^D[4]^C[25]^C[31]^D[0]^D[6]^C[24]^C[30]^D[1]^D[7];
87
        NewCRC[6]=C[30]^D[1]^C[29]^D[2]^C[28]^D[3]^C[26]^D[5]^C[25]^C[31]^D[0]^D[6];
88
        NewCRC[7]=C[31]^D[0]^C[29]^D[2]^C[27]^D[4]^C[26]^D[5]^C[24]^D[7];
89
        NewCRC[8]=C[0]^C[28]^D[3]^C[27]^D[4]^C[25]^D[6]^C[24]^D[7];
90
        NewCRC[9]=C[1]^C[29]^D[2]^C[28]^D[3]^C[26]^D[5]^C[25]^D[6];
91
        NewCRC[10]=C[2]^C[29]^D[2]^C[27]^D[4]^C[26]^D[5]^C[24]^D[7];
92
        NewCRC[11]=C[3]^C[28]^D[3]^C[27]^D[4]^C[25]^D[6]^C[24]^D[7];
93
        NewCRC[12]=C[4]^C[29]^D[2]^C[28]^D[3]^C[26]^D[5]^C[25]^D[6]^C[24]^C[30]^D[1]^D[7];
94
        NewCRC[13]=C[5]^C[30]^D[1]^C[29]^D[2]^C[27]^D[4]^C[26]^D[5]^C[25]^C[31]^D[0]^D[6];
95
        NewCRC[14]=C[6]^C[31]^D[0]^C[30]^D[1]^C[28]^D[3]^C[27]^D[4]^C[26]^D[5];
96
        NewCRC[15]=C[7]^C[31]^D[0]^C[29]^D[2]^C[28]^D[3]^C[27]^D[4];
97
        NewCRC[16]=C[8]^C[29]^D[2]^C[28]^D[3]^C[24]^D[7];
98
        NewCRC[17]=C[9]^C[30]^D[1]^C[29]^D[2]^C[25]^D[6];
99
        NewCRC[18]=C[10]^C[31]^D[0]^C[30]^D[1]^C[26]^D[5];
100
        NewCRC[19]=C[11]^C[31]^D[0]^C[27]^D[4];
101
        NewCRC[20]=C[12]^C[28]^D[3];
102
        NewCRC[21]=C[13]^C[29]^D[2];
103
        NewCRC[22]=C[14]^C[24]^D[7];
104
        NewCRC[23]=C[15]^C[25]^D[6]^C[24]^C[30]^D[1]^D[7];
105
        NewCRC[24]=C[16]^C[26]^D[5]^C[25]^C[31]^D[0]^D[6];
106
        NewCRC[25]=C[17]^C[27]^D[4]^C[26]^D[5];
107
        NewCRC[26]=C[18]^C[28]^D[3]^C[27]^D[4]^C[24]^C[30]^D[1]^D[7];
108
        NewCRC[27]=C[19]^C[29]^D[2]^C[28]^D[3]^C[25]^C[31]^D[0]^D[6];
109
        NewCRC[28]=C[20]^C[30]^D[1]^C[29]^D[2]^C[26]^D[5];
110
        NewCRC[29]=C[21]^C[31]^D[0]^C[30]^D[1]^C[27]^D[4];
111
        NewCRC[30]=C[22]^C[31]^D[0]^C[28]^D[3];
112
        NewCRC[31]=C[23]^C[29]^D[2];
113
        NextCRC=NewCRC;
114
        end
115
                endfunction
116
//******************************************************************************
117
 
118
always @ (posedge Clk or posedge Reset)
119
        if (Reset)
120
                CRC_reg         <=32'hffffffff;
121
        else if (Init)
122
                CRC_reg         <=32'hffffffff;
123
        else if (Data_en)
124
                CRC_reg         <=NextCRC(Frame_data,CRC_reg);
125
        else if (CRC_rd)
126
                CRC_reg         <={CRC_reg[23:0],8'hff};
127
 
128
always @ (CRC_rd or CRC_reg)
129
        if (CRC_rd)
130
                CRC_out         <=~{
131
                                                CRC_reg[24],
132
                                                CRC_reg[25],
133
                                                CRC_reg[26],
134
                                                CRC_reg[27],
135
                                                CRC_reg[28],
136
                                                CRC_reg[29],
137
                                                CRC_reg[30],
138
                                                CRC_reg[31]
139
                                                };
140
        else
141
                CRC_out         <=0;
142
 
143
//caculate CRC out length ,4 cycles             
144
//CRC_end aligned to last CRC checksum data
145
always @(posedge Clk or posedge Reset)
146
        if (Reset)
147
                Counter         <=0;
148
        else if (!CRC_rd)
149
                Counter         <=0;
150
        else
151
                Counter         <=Counter + 1;
152
 
153
always @ (Counter)
154
        if (Counter==3)
155
                CRC_end=1;
156
        else
157
                CRC_end=0;
158
 
159
endmodule
160
 
161
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.