OpenCores
URL https://opencores.org/ocsvn/ethernet_tri_mode/ethernet_tri_mode/trunk

Subversion Repositories ethernet_tri_mode

[/] [ethernet_tri_mode/] [trunk/] [rtl/] [verilog/] [TECH/] [duram.v] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 maverickis
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  duram.v                                                     ////
4
////                                                              ////
5
////  This file is part of the Ethernet IP core project           ////
6
////  http://www.opencores.org/projects.cgi/web/ethernet_tri_mode/////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Jon Gao (gaojon@yahoo.com)                            ////
10
////                                                              ////
11
////                                                              ////
12
//////////////////////////////////////////////////////////////////////
13
////                                                              ////
14
//// Copyright (C) 2001 Authors                                   ////
15
////                                                              ////
16
//// This source file may be used and distributed without         ////
17
//// restriction provided that this copyright statement is not    ////
18
//// removed from the file and that any derivative work contains  ////
19
//// the original copyright notice and the associated disclaimer. ////
20
////                                                              ////
21
//// This source file is free software; you can redistribute it   ////
22
//// and/or modify it under the terms of the GNU Lesser General   ////
23
//// Public License as published by the Free Software Foundation; ////
24
//// either version 2.1 of the License, or (at your option) any   ////
25
//// later version.                                               ////
26
////                                                              ////
27
//// This source is distributed in the hope that it will be       ////
28
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
29
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
30
//// PURPOSE.  See the GNU Lesser General Public License for more ////
31
//// details.                                                     ////
32
////                                                              ////
33
//// You should have received a copy of the GNU Lesser General    ////
34
//// Public License along with this source; if not, download it   ////
35
//// from http://www.opencores.org/lgpl.shtml                     ////
36
////                                                              ////
37
//////////////////////////////////////////////////////////////////////
38
//                                                                    
39
// CVS Revision History                                               
40
//                                                                    
41
// $Log: not supported by cvs2svn $
42
module duram(
43
data_a,
44
data_b,
45
wren_a,
46
wren_b,
47
address_a,
48
address_b,
49
clock_a,
50
clock_b,
51
q_a,
52
q_b);   //synthesis syn_black_box
53
 
54
parameter DATA_WIDTH    = 32;
55
parameter ADDR_WIDTH    = 5;
56
parameter BLK_RAM_TYPE  = "AUTO";
57
parameter DURAM_MODE    = "BIDIR_DUAL_PORT";
58
parameter ADDR_DEPTH    = 2**ADDR_WIDTH;
59
 
60
 
61
 
62
input   [DATA_WIDTH -1:0]        data_a;
63
input                                           wren_a;
64
input   [ADDR_WIDTH -1:0]        address_a;
65
input                                           clock_a;
66
output  [DATA_WIDTH -1:0]        q_a;
67
input   [DATA_WIDTH -1:0]        data_b;
68
input                                           wren_b;
69
input   [ADDR_WIDTH -1:0]        address_b;
70
input                                           clock_b;
71
output  [DATA_WIDTH -1:0]        q_b;
72
 
73
 
74
 
75
altsyncram U_altsyncram (
76
.wren_a                 (wren_a),
77
.wren_b                 (wren_b),
78
.data_a                 (data_a),
79
.data_b                 (data_b),
80
.address_a              (address_a),
81
.address_b              (address_b),
82
.clock0                 (clock_a),
83
.clock1                 (clock_b),
84
.q_a                    (q_a),
85
.q_b                    (q_b),
86
// synopsys translate_off
87
.aclr0 (),
88
.aclr1 (),
89
.addressstall_a (),
90
.addressstall_b (),
91
.byteena_a (),
92
.byteena_b (),
93
.clocken0 (),
94
.clocken1 (),
95
.rden_b ()
96
// synopsys translate_on
97
);
98
        defparam
99
                U_altsyncram.intended_device_family = "Stratix",
100
                U_altsyncram.ram_block_type = BLK_RAM_TYPE,
101
                U_altsyncram.operation_mode = DURAM_MODE,
102
                U_altsyncram.width_a = DATA_WIDTH,
103
                U_altsyncram.widthad_a = ADDR_WIDTH,
104
//              U_altsyncram.numwords_a = 256,
105
                U_altsyncram.width_b = DATA_WIDTH,
106
                U_altsyncram.widthad_b = ADDR_WIDTH,
107
//              U_altsyncram.numwords_b = 256,
108
                U_altsyncram.lpm_type = "altsyncram",
109
                U_altsyncram.width_byteena_a = 1,
110
                U_altsyncram.width_byteena_b = 1,
111
                U_altsyncram.outdata_reg_a = "UNREGISTERED",
112
                U_altsyncram.outdata_aclr_a = "NONE",
113
                U_altsyncram.outdata_reg_b = "UNREGISTERED",
114
                U_altsyncram.indata_aclr_a = "NONE",
115
                U_altsyncram.wrcontrol_aclr_a = "NONE",
116
                U_altsyncram.address_aclr_a = "NONE",
117
                U_altsyncram.indata_reg_b = "CLOCK1",
118
                U_altsyncram.address_reg_b = "CLOCK1",
119
                U_altsyncram.wrcontrol_wraddress_reg_b = "CLOCK1",
120
                U_altsyncram.indata_aclr_b = "NONE",
121
                U_altsyncram.wrcontrol_aclr_b = "NONE",
122
                U_altsyncram.address_aclr_b = "NONE",
123
                U_altsyncram.outdata_aclr_b = "NONE",
124
                U_altsyncram.power_up_uninitialized = "FALSE";
125
 
126
endmodule
127
 
128
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.