OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [tags/] [rel_24/] [bench/] [verilog/] [tb_ethernet_with_cop.v] - Blame information for rev 299

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 189 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  tb_ethernet_with_cop.v                                      ////
4
////                                                              ////
5
////  This file is part of the Ethernet IP core project           ////
6
////  http://www.opencores.org/projects/ethmac/                   ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Igor Mohor (igorM@opencores.org)                      ////
10
////                                                              ////
11
////  All additional information is avaliable in the Readme.txt   ////
12
////  file.                                                       ////
13
////                                                              ////
14
//////////////////////////////////////////////////////////////////////
15
////                                                              ////
16
//// Copyright (C) 2001, 2002 Authors                             ////
17
////                                                              ////
18
//// This source file may be used and distributed without         ////
19
//// restriction provided that this copyright statement is not    ////
20
//// removed from the file and that any derivative work contains  ////
21
//// the original copyright notice and the associated disclaimer. ////
22
////                                                              ////
23
//// This source file is free software; you can redistribute it   ////
24
//// and/or modify it under the terms of the GNU Lesser General   ////
25
//// Public License as published by the Free Software Foundation; ////
26
//// either version 2.1 of the License, or (at your option) any   ////
27
//// later version.                                               ////
28
////                                                              ////
29
//// This source is distributed in the hope that it will be       ////
30
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
31
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
32
//// PURPOSE.  See the GNU Lesser General Public License for more ////
33
//// details.                                                     ////
34
////                                                              ////
35
//// You should have received a copy of the GNU Lesser General    ////
36
//// Public License along with this source; if not, download it   ////
37
//// from http://www.opencores.org/lgpl.shtml                     ////
38
////                                                              ////
39
//////////////////////////////////////////////////////////////////////
40
//
41
// CVS Revision History
42
//
43
// $Log: not supported by cvs2svn $
44 299 mohor
// Revision 1.3  2002/10/18 17:03:34  tadejm
45
// Changed BIST scan signals.
46
//
47 227 tadejm
// Revision 1.2  2002/10/11 13:29:28  mohor
48
// Bist signals added.
49
//
50 216 mohor
// Revision 1.1  2002/09/18 16:40:40  mohor
51
// Simple testbench that includes eth_cop, eth_host and eth_memory modules.
52
// This testbench is used for testing the whole environment. Use tb_ethernet
53
// testbench for testing just the ethernet MAC core (many tests).
54 189 mohor
//
55
//
56
//
57 216 mohor
//
58 189 mohor
 
59
 
60
 
61
`include "tb_eth_defines.v"
62
`include "eth_defines.v"
63
`include "timescale.v"
64
 
65
module tb_ethernet_with_cop();
66
 
67
 
68
parameter Tp = 1;
69
 
70
 
71
reg           wb_clk_o;
72
reg           wb_rst_o;
73
 
74
reg           mtx_clk;
75
reg           mrx_clk;
76
 
77
wire   [3:0]  MTxD;
78
wire          MTxEn;
79
wire          MTxErr;
80
 
81
reg    [3:0]  MRxD;     // This goes to PHY
82
reg           MRxDV;    // This goes to PHY
83
reg           MRxErr;   // This goes to PHY
84
reg           MColl;    // This goes to PHY
85
reg           MCrs;     // This goes to PHY
86
 
87
wire          Mdi_I;
88
wire          Mdo_O;
89
wire          Mdo_OE;
90
wire          Mdc_O;
91
 
92
integer tx_log;
93
integer rx_log;
94
 
95
reg StartTB;
96
 
97
`ifdef ETH_XILINX_RAMB4
98
  reg gsr;
99
`endif
100
 
101
 
102
integer packet_ready_cnt, send_packet_cnt;
103
 
104
 
105
// Ethernet Slave Interface signals
106
wire [31:0] eth_sl_wb_adr_i, eth_sl_wb_dat_o, eth_sl_wb_dat_i;
107
wire  [3:0] eth_sl_wb_sel_i;
108
wire        eth_sl_wb_we_i, eth_sl_wb_cyc_i, eth_sl_wb_stb_i, eth_sl_wb_ack_o, eth_sl_wb_err_o;
109
 
110
// Memory Slave Interface signals
111
wire [31:0] mem_sl_wb_adr_i, mem_sl_wb_dat_o, mem_sl_wb_dat_i;
112
wire  [3:0] mem_sl_wb_sel_i;
113
wire        mem_sl_wb_we_i, mem_sl_wb_cyc_i, mem_sl_wb_stb_i, mem_sl_wb_ack_o, mem_sl_wb_err_o;
114
 
115
// Ethernet Master Interface signals
116
wire [31:0] eth_ma_wb_adr_o, eth_ma_wb_dat_i, eth_ma_wb_dat_o;
117
wire  [3:0] eth_ma_wb_sel_o;
118
wire        eth_ma_wb_we_o, eth_ma_wb_cyc_o, eth_ma_wb_stb_o, eth_ma_wb_ack_i, eth_ma_wb_err_i;
119
 
120 216 mohor
`ifdef ETH_WISHBONE_B3
121
wire  [2:0] eth_ma_wb_cti_o;
122
wire  [1:0] eth_ma_wb_bte_o;
123
`endif
124
 
125
 
126 189 mohor
// Host Master Interface signals
127
wire [31:0] host_ma_wb_adr_o, host_ma_wb_dat_i, host_ma_wb_dat_o;
128
wire  [3:0] host_ma_wb_sel_o;
129
wire        host_ma_wb_we_o, host_ma_wb_cyc_o, host_ma_wb_stb_o, host_ma_wb_ack_i, host_ma_wb_err_i;
130
 
131
 
132
 
133
eth_cop i_eth_cop
134
(
135
  // WISHBONE common
136
  .wb_clk_i(wb_clk_o), .wb_rst_i(wb_rst_o),
137
 
138
  // WISHBONE MASTER 1  Ethernet Master Interface is connected here
139
  .m1_wb_adr_i(eth_ma_wb_adr_o),  .m1_wb_sel_i(eth_ma_wb_sel_o),  .m1_wb_we_i (eth_ma_wb_we_o),
140
  .m1_wb_dat_o(eth_ma_wb_dat_i),  .m1_wb_dat_i(eth_ma_wb_dat_o),  .m1_wb_cyc_i(eth_ma_wb_cyc_o),
141
  .m1_wb_stb_i(eth_ma_wb_stb_o),  .m1_wb_ack_o(eth_ma_wb_ack_i),  .m1_wb_err_o(eth_ma_wb_err_i),
142
 
143
  // WISHBONE MASTER 2  Host Interface is connected here
144
  .m2_wb_adr_i(host_ma_wb_adr_o), .m2_wb_sel_i(host_ma_wb_sel_o), .m2_wb_we_i (host_ma_wb_we_o),
145
  .m2_wb_dat_o(host_ma_wb_dat_i), .m2_wb_dat_i(host_ma_wb_dat_o), .m2_wb_cyc_i(host_ma_wb_cyc_o),
146
  .m2_wb_stb_i(host_ma_wb_stb_o), .m2_wb_ack_o(host_ma_wb_ack_i), .m2_wb_err_o(host_ma_wb_err_i),
147
 
148
  // WISHBONE slave 1   Ethernet Slave Interface is connected here
149
        .s1_wb_adr_o(eth_sl_wb_adr_i),  .s1_wb_sel_o(eth_sl_wb_sel_i),  .s1_wb_we_o (eth_sl_wb_we_i),
150
        .s1_wb_cyc_o(eth_sl_wb_cyc_i),  .s1_wb_stb_o(eth_sl_wb_stb_i),  .s1_wb_ack_i(eth_sl_wb_ack_o),
151
        .s1_wb_err_i(eth_sl_wb_err_o),  .s1_wb_dat_i(eth_sl_wb_dat_o),  .s1_wb_dat_o(eth_sl_wb_dat_i),
152
 
153
  // WISHBONE slave 2   Memory Interface is connected here
154
        .s2_wb_adr_o(mem_sl_wb_adr_i),  .s2_wb_sel_o(mem_sl_wb_sel_i),  .s2_wb_we_o (mem_sl_wb_we_i),
155
        .s2_wb_cyc_o(mem_sl_wb_cyc_i),  .s2_wb_stb_o(mem_sl_wb_stb_i),  .s2_wb_ack_i(mem_sl_wb_ack_o),
156
        .s2_wb_err_i(mem_sl_wb_err_o),  .s2_wb_dat_i(mem_sl_wb_dat_o),  .s2_wb_dat_o(mem_sl_wb_dat_i)
157
);
158
 
159
 
160
 
161
 
162
// Connecting Ethernet top module
163
eth_top ethtop
164
(
165
  // WISHBONE common
166
  .wb_clk_i(wb_clk_o),              .wb_rst_i(wb_rst_o),
167
 
168
  // WISHBONE slave
169
        .wb_adr_i(eth_sl_wb_adr_i[11:2]), .wb_sel_i(eth_sl_wb_sel_i),   .wb_we_i(eth_sl_wb_we_i),
170
        .wb_cyc_i(eth_sl_wb_cyc_i),       .wb_stb_i(eth_sl_wb_stb_i),   .wb_ack_o(eth_sl_wb_ack_o),
171
        .wb_err_o(eth_sl_wb_err_o),       .wb_dat_i(eth_sl_wb_dat_i),   .wb_dat_o(eth_sl_wb_dat_o),
172
 
173
  // WISHBONE master
174
  .m_wb_adr_o(eth_ma_wb_adr_o),     .m_wb_sel_o(eth_ma_wb_sel_o), .m_wb_we_o(eth_ma_wb_we_o),
175
  .m_wb_dat_i(eth_ma_wb_dat_i),     .m_wb_dat_o(eth_ma_wb_dat_o), .m_wb_cyc_o(eth_ma_wb_cyc_o),
176
  .m_wb_stb_o(eth_ma_wb_stb_o),     .m_wb_ack_i(eth_ma_wb_ack_i), .m_wb_err_i(eth_ma_wb_err_i),
177
 
178 216 mohor
`ifdef ETH_WISHBONE_B3
179
  .m_wb_cti_o(eth_ma_wb_cti_o),     .m_wb_bte_o(eth_ma_wb_bte_o),
180
`endif
181
 
182 189 mohor
  //TX
183
  .mtx_clk_pad_i(mtx_clk), .mtxd_pad_o(MTxD), .mtxen_pad_o(MTxEn), .mtxerr_pad_o(MTxErr),
184
 
185
  //RX
186
  .mrx_clk_pad_i(mrx_clk), .mrxd_pad_i(MRxD), .mrxdv_pad_i(MRxDV), .mrxerr_pad_i(MRxErr),
187
  .mcoll_pad_i(MColl),    .mcrs_pad_i(MCrs),
188
 
189
  // MIIM
190
  .mdc_pad_o(Mdc_O), .md_pad_i(Mdi_I), .md_pad_o(Mdo_O), .md_padoe_o(Mdo_OE),
191
 
192
  .int_o()
193 216 mohor
 
194
  // Bist
195
`ifdef ETH_BIST
196 227 tadejm
  ,
197 299 mohor
  .scanb_rst      (1'b1),
198 227 tadejm
  .scanb_clk      (1'b0),
199
  .scanb_si       (1'b0),
200
  .scanb_so       (),
201
  .scanb_en       (1'b0)
202 216 mohor
`endif
203
 
204 189 mohor
);
205
 
206
 
207
 
208
// Connecting Memory Interface Module
209
eth_memory i_eth_memory
210
(
211
  // WISHBONE common
212
        .wb_clk_i(wb_clk_o),         .wb_rst_i(wb_rst_o),
213
 
214
  // WISHBONE slave:   Memory Interface is connected here
215
        .wb_adr_i(mem_sl_wb_adr_i),  .wb_sel_i(mem_sl_wb_sel_i),  .wb_we_i (mem_sl_wb_we_i),
216
        .wb_cyc_i(mem_sl_wb_cyc_i),  .wb_stb_i(mem_sl_wb_stb_i),  .wb_ack_o(mem_sl_wb_ack_o),
217
        .wb_err_o(mem_sl_wb_err_o),  .wb_dat_o(mem_sl_wb_dat_o),  .wb_dat_i(mem_sl_wb_dat_i)
218
);
219
 
220
 
221
// Connecting Host Interface
222
eth_host eth_host
223
(
224
  // WISHBONE common
225
  .wb_clk_i(wb_clk_o),         .wb_rst_i(wb_rst_o),
226
 
227
  // WISHBONE master
228
  .wb_adr_o(host_ma_wb_adr_o), .wb_sel_o(host_ma_wb_sel_o), .wb_we_o (host_ma_wb_we_o),
229
  .wb_dat_i(host_ma_wb_dat_i), .wb_dat_o(host_ma_wb_dat_o), .wb_cyc_o(host_ma_wb_cyc_o),
230
  .wb_stb_o(host_ma_wb_stb_o), .wb_ack_i(host_ma_wb_ack_i), .wb_err_i(host_ma_wb_err_i)
231
);
232
 
233
 
234
 
235
 
236
 
237
// Reset pulse
238
initial
239
begin
240
  MCrs=0;                                     // This should come from PHY
241
  MColl=0;                                    // This should come from PHY
242
  MRxD=0;                                     // This should come from PHY
243
  MRxDV=0;                                    // This should come from PHY
244
  MRxErr=0;                                   // This should come from PHY
245
  packet_ready_cnt = 0;
246
  send_packet_cnt = 0;
247
  tx_log = $fopen("ethernet_tx.log");
248
  rx_log = $fopen("ethernet_rx.log");
249
  wb_rst_o =  1'b1;
250
`ifdef ETH_XILINX_RAMB4
251
  gsr           =  1'b0;
252
  #100 gsr      =  1'b1;
253
  #100 gsr      =  1'b0;
254
`endif
255
  #100 wb_rst_o =  1'b0;
256
  #100 StartTB  =  1'b1;
257
end
258
 
259
`ifdef ETH_XILINX_RAMB4
260
  assign glbl.GSR = gsr;
261
`endif
262
 
263
 
264
 
265
// Generating wb_clk_o clock
266
initial
267
begin
268
  wb_clk_o=0;
269 216 mohor
//  forever #20 wb_clk_o = ~wb_clk_o;  // 2*20 ns -> 25 MHz    
270
  forever #12.5 wb_clk_o = ~wb_clk_o;  // 2*12.5 ns -> 40 MHz    
271 189 mohor
end
272
 
273
// Generating mtx_clk clock
274
initial
275
begin
276
  mtx_clk=0;
277
  #3 forever #20 mtx_clk = ~mtx_clk;   // 2*20 ns -> 25 MHz
278
end
279
 
280
// Generating mrx_clk clock
281
initial
282
begin
283
  mrx_clk=0;
284
  #16 forever #20 mrx_clk = ~mrx_clk;   // 2*20 ns -> 25 MHz
285
end
286
 
287
reg [31:0] tmp;
288
initial
289
begin
290
  wait(StartTB);  // Start of testbench
291
 
292
 
293
  eth_host.wb_write(`ETH_MODER, 4'hf, 32'h0); // Reset OFF
294
  eth_host.wb_read(`ETH_MODER, 4'hf, tmp);
295
  eth_host.wb_write(`ETH_MAC_ADDR1, 4'hf, 32'h0002); // Set ETH_MAC_ADDR1 register
296
  eth_host.wb_write(`ETH_MAC_ADDR0, 4'hf, 32'h03040506); // Set ETH_MAC_ADDR0 register
297
 
298
  initialize_txbd(3);
299 216 mohor
  initialize_rxbd(4);
300 189 mohor
 
301
//  eth_host.wb_write(`ETH_MODER, 4'hf, `ETH_MODER_RXEN  | `ETH_MODER_TXEN | `ETH_MODER_PRO | 
302
//                                      `ETH_MODER_CRCEN | `ETH_MODER_PAD); // Set MODER register
303
//  eth_host.wb_write(`ETH_MODER, 4'hf, `ETH_MODER_RXEN  | `ETH_MODER_TXEN | 
304
//                                      `ETH_MODER_CRCEN | `ETH_MODER_PAD); // Set MODER register
305 216 mohor
//  eth_host.wb_write(`ETH_MODER, 4'hf, `ETH_MODER_RXEN  | `ETH_MODER_TXEN | `ETH_MODER_BRO | 
306
//                                      `ETH_MODER_CRCEN | `ETH_MODER_PAD); // Set MODER register
307 189 mohor
//  eth_host.wb_write(`ETH_MODER, 4'hf, `ETH_MODER_RXEN  | `ETH_MODER_TXEN | `ETH_MODER_PRO | 
308
//                                      `ETH_MODER_CRCEN | `ETH_MODER_PAD | `ETH_MODER_LOOPBCK); // Set MODER register
309 216 mohor
  eth_host.wb_write(`ETH_MODER, 4'hf, `ETH_MODER_RXEN  | `ETH_MODER_TXEN | `ETH_MODER_PRO |
310
                                      `ETH_MODER_CRCEN | `ETH_MODER_PAD | `ETH_MODER_LOOPBCK |
311
                                      `ETH_MODER_FULLD); // Set MODER register
312 189 mohor
  eth_host.wb_read(`ETH_MODER, 4'hf, tmp);
313
 
314 216 mohor
  set_packet(16'h364, 8'h1);
315
  set_packet(16'h234, 8'h11);
316 189 mohor
  send_packet;
317 216 mohor
  repeat (1000) @(posedge mrx_clk);   // Waiting for TxEthMac to finish transmit
318
 
319
//  repeat (10000) @(posedge wb_clk_o);   // Waiting for TxEthMac to finish transmit
320
  set_packet(16'h534, 8'h21);
321
//  set_packet(16'h34, 8'h31);
322
 
323 189 mohor
/*
324
  eth_host.wb_write(`ETH_CTRLMODER, 4'hf, 32'h4);   // Enable Tx Flow control
325
  eth_host.wb_write(`ETH_CTRLMODER, 4'hf, 32'h5);   // Enable Tx Flow control
326
  eth_host.wb_write(`ETH_TX_CTRL, 4'hf, 32'h10013); // Send Control frame with PAUSE_TV=0x0013
327
*/
328 216 mohor
 
329 189 mohor
  send_packet;
330 216 mohor
  repeat (1000) @(posedge mrx_clk);   // Waiting for TxEthMac to finish transmit
331
  send_packet;
332
  repeat (1000) @(posedge mrx_clk);   // Waiting for TxEthMac to finish transmit
333 189 mohor
 
334 216 mohor
/*
335
  send_packet;
336
*/
337 189 mohor
 
338
 
339 216 mohor
  repeat (10000) @(posedge wb_clk_o);   // Waiting for TxEthMac to finish transmit
340 189 mohor
 
341 216 mohor
/*
342
  GetDataOnMRxD(113, `UNICAST_XFR); // LengthRx bytes is comming on MRxD[3:0] signals
343
 
344
  repeat (10000) @(posedge wb_clk_o);   // Waiting for TxEthMac to finish transmit
345
 
346 189 mohor
  GetDataOnMRxD(500, `BROADCAST_XFR); // LengthRx bytes is comming on MRxD[3:0] signals
347
 
348
  repeat (1000) @(posedge mrx_clk);   // Waiting for TxEthMac to finish transmit
349
 
350
 
351
  GetDataOnMRxD(1200, `BROADCAST_XFR); // LengthRx bytes is comming on MRxD[3:0] signals
352
 
353
 
354
  GetDataOnMRxD(1000, `UNICAST_XFR); // LengthRx bytes is comming on MRxD[3:0] signals
355
 
356
  repeat (10000) @(posedge wb_clk_o);   // Waiting for TxEthMac to finish transmit
357
 
358 216 mohor
*/
359 189 mohor
  // Reading and printing interrupts
360
  eth_host.wb_read(`ETH_INT, 4'hf, tmp);
361
  $display("Print irq = 0x%0x", tmp);
362
 
363
  //Clearing all interrupts
364
  eth_host.wb_write(`ETH_INT, 4'hf, 32'h60);
365
 
366
  // Reading and printing interrupts
367
  eth_host.wb_read(`ETH_INT, 4'hf, tmp);
368
  $display("Print irq = 0x%0x", tmp);
369
 
370
  $display("\n\n End of simulation");
371
  $stop;
372
 
373
 
374
 
375
end
376
 
377
 
378 216 mohor
`ifdef ETH_WISHBONE_B3
379 189 mohor
 
380 216 mohor
integer single_cnt_tx, burst_cnt_tx, burst_cnt;
381
integer single_cnt_rx, burst_cnt_rx;
382
 
383
initial
384
begin
385
single_cnt_tx=0; burst_cnt_tx=0; burst_cnt=0;
386
single_cnt_rx=0; burst_cnt_rx=0;
387
end
388
 
389
// Single and burst cycle watcher
390
always @ (posedge wb_clk_o)
391
begin
392
  if(eth_ma_wb_ack_i) begin
393
    if(eth_ma_wb_cyc_o & eth_ma_wb_we_o & eth_ma_wb_cti_o==3'b000) begin
394
      if(burst_cnt!==0)
395
        $display("(%0t)(%m) ERROR !!!  burst_cnt should be 0 because this is a single access", $time);
396
      else
397
        single_cnt_rx=single_cnt_rx+1;
398
    end
399
    else if(eth_ma_wb_cyc_o & !eth_ma_wb_we_o & eth_ma_wb_cti_o==3'b000) begin
400
      if(burst_cnt!==0)
401
        $display("(%0t)(%m) ERROR !!!  burst_cnt should be 0 because this is a single access", $time);
402
      else
403
        single_cnt_tx=single_cnt_tx+1;
404
    end
405
    else if(eth_ma_wb_cyc_o & eth_ma_wb_cti_o==3'b010) begin // burst in progress
406
      burst_cnt=burst_cnt+1;
407
    end
408
    else if(eth_ma_wb_cyc_o & eth_ma_wb_we_o & eth_ma_wb_cti_o==3'b111 & burst_cnt==(`ETH_BURST_LENGTH-1)) begin
409
      burst_cnt_rx=burst_cnt_rx+1;
410
      burst_cnt=0;
411
    end
412
    else if(eth_ma_wb_cyc_o & !eth_ma_wb_we_o & eth_ma_wb_cti_o==3'b111 & burst_cnt==(`ETH_BURST_LENGTH-1)) begin
413
      burst_cnt_tx=burst_cnt_tx+1;
414
      burst_cnt=0;
415
    end
416
    else
417
      $display("(%0t)(%m) ERROR !!!  Unknown cycle type or sequence", $time);
418
  end
419
end
420
`endif  // ETH_WISHBONE_B3
421
 
422
 
423
 
424 189 mohor
task initialize_txbd;
425
  input [6:0] txbd_num;
426
 
427
  integer i;
428
  integer bd_status_addr, buf_addr, bd_ptr_addr;
429
 
430
  for(i=0; i<txbd_num; i=i+1) begin
431
    buf_addr = `TX_BUF_BASE + i * 32'h600;
432
    bd_status_addr = `TX_BD_BASE + i * 8;
433
    bd_ptr_addr = bd_status_addr + 4;
434
 
435
    // Initializing BD - status
436
    if(i==txbd_num-1)
437
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h00007800);    // last BD: + WRAP
438
    else
439
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h00005800);    // IRQ + PAD + CRC
440
 
441
    eth_host.wb_write(bd_ptr_addr, 4'hf, buf_addr);             // Initializing BD - pointer
442
  end
443
endtask // initialize_txbd
444
 
445
 
446
task initialize_rxbd;
447
  input [6:0] rxbd_num;
448
 
449
  integer i;
450
  integer bd_status_addr, buf_addr, bd_ptr_addr;
451
 
452
  for(i=0; i<rxbd_num; i=i+1) begin
453
    buf_addr = `RX_BUF_BASE + i * 32'h600;
454
    bd_status_addr = `RX_BD_BASE + i * 8;
455
    bd_ptr_addr = bd_status_addr + 4;
456
 
457
    // Initializing BD - status
458
    if(i==rxbd_num-1)
459
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h0000e000);    // last BD: + WRAP
460
    else
461
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h0000c000);    // IRQ + PAD + CRC
462
 
463
    eth_host.wb_write(bd_ptr_addr, 4'hf, buf_addr);             // Initializing BD - pointer
464
  end
465
endtask // initialize_rxbd
466
 
467
 
468
task set_packet;
469
  input  [15:0] len;
470
  input   [7:0] start_data;
471
 
472
  integer i, sd;
473
  integer bd_status_addr, bd_ptr_addr, buffer, bd;
474
 
475
  begin
476
    sd = start_data;
477
    bd_status_addr = `TX_BD_BASE + packet_ready_cnt * 8;
478
    bd_ptr_addr = bd_status_addr + 4;
479
 
480
    // Reading BD + buffer pointer
481
    eth_host.wb_read(bd_status_addr, 4'hf, bd);
482
    eth_host.wb_read(bd_ptr_addr, 4'hf, buffer);
483
 
484
    while(bd & `ETH_TX_BD_READY) begin  // Buffer is ready. Don't touch !!!
485
      repeat(100) @(posedge wb_clk_o);
486
      i=i+1;
487
      eth_host.wb_read(bd_status_addr, 4'hf, bd);
488
      if(i>1000)  begin
489
        $display("(%0t)(%m)Waiting for TxBD ready to clear timeout", $time);
490
        $stop;
491
      end
492
    end
493
 
494
    // First write might not be word allign.
495
    if(buffer[1:0]==1)  begin
496
      eth_host.wb_write(buffer-1, 4'h7, {8'h0, sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2});
497
      sd=sd+3;
498
      i=3;
499
    end
500
    else if(buffer[1:0]==2)  begin
501
      eth_host.wb_write(buffer-2, 4'h3, {16'h0, sd[7:0], sd[7:0]+3'h1});
502
      sd=sd+2;
503
      i=2;
504
    end
505
    else if(buffer[1:0]==3)  begin
506
      eth_host.wb_write(buffer-3, 4'h1, {24'h0, sd[7:0]});
507
      sd=sd+1;
508
      i=1;
509
    end
510
    else
511
      i=0;
512
 
513
 
514
    for(i=i; i<len-4; i=i+4) begin   // Last 0-3 bytes are not written
515
      eth_host.wb_write(buffer+i, 4'hf, {sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2, sd[7:0]+3'h3});
516
      sd=sd+4;
517
    end
518
 
519
 
520
    // Last word
521
    if(len-i==3)
522
      eth_host.wb_write(buffer+i, 4'he, {sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2, 8'h0});
523
    else if(len-i==2)
524
      eth_host.wb_write(buffer+i, 4'hc, {sd[7:0], sd[7:0]+3'h1, 16'h0});
525
    else if(len-i==1)
526
      eth_host.wb_write(buffer+i, 4'h8, {sd[7:0], 24'h0});
527
    else if(len-i==4)
528
      eth_host.wb_write(buffer+i, 4'hf, {sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2, sd[7:0]+3'h3});
529
    else
530
      $display("(%0t)(%m) ERROR", $time);
531
 
532
 
533
    // Checking WRAP bit
534
    if(bd & `ETH_TX_BD_WRAP)
535
      packet_ready_cnt = 0;
536
    else
537
      packet_ready_cnt = packet_ready_cnt+1;
538
 
539
    // Writing len to bd
540
    bd = bd | (len<<16);
541
    eth_host.wb_write(bd_status_addr, 4'hf, bd);
542
 
543
  end
544
endtask // set_packet
545
 
546
 
547
task send_packet;
548
 
549
  integer bd_status_addr, bd_ptr_addr, buffer, bd;
550
 
551
  begin
552
    bd_status_addr = `TX_BD_BASE + send_packet_cnt * 8;
553
    bd_ptr_addr = bd_status_addr + 4;
554
 
555
    // Reading BD + buffer pointer
556
    eth_host.wb_read(bd_status_addr, 4'hf, bd);
557
    eth_host.wb_read(bd_ptr_addr, 4'hf, buffer);
558
 
559
    if(bd & `ETH_TX_BD_WRAP)
560
      send_packet_cnt=0;
561
    else
562
      send_packet_cnt=send_packet_cnt+1;
563
 
564
    // Setting ETH_TX_BD_READY bit
565
    bd = bd | `ETH_TX_BD_READY;
566
    eth_host.wb_write(bd_status_addr, 4'hf, bd);
567
  end
568
 
569
 
570
endtask // send_packet
571
 
572
 
573
task GetDataOnMRxD;
574
  input [15:0] Len;
575
  input [31:0] TransferType;
576
  integer tt;
577
 
578
  begin
579
    @ (posedge mrx_clk);
580
    #1MRxDV=1'b1;
581
 
582
    for(tt=0; tt<15; tt=tt+1)
583
      begin
584
        MRxD=4'h5;              // preamble
585
        @ (posedge mrx_clk);
586
        #1;
587
      end
588
 
589
    MRxD=4'hd;                // SFD
590
 
591
    for(tt=1; tt<(Len+1); tt=tt+1)
592
      begin
593
        @ (posedge mrx_clk);
594
        #1;
595
            if(TransferType == `UNICAST_XFR && tt == 1)
596
                MRxD= 4'h0;   // Unicast transfer
597
              else if(TransferType == `BROADCAST_XFR && tt < 7)
598
                MRxD = 4'hf;
599
              else
600
          MRxD=tt[3:0]; // Multicast transfer
601
 
602
        @ (posedge mrx_clk);
603
              #1;
604
              if(TransferType == `BROADCAST_XFR && tt < 7)
605
                MRxD = 4'hf;
606
              else
607
          MRxD=tt[7:4];
608
      end
609
 
610
    @ (posedge mrx_clk);
611
    #1;
612
    MRxDV=1'b0;
613
  end
614
endtask // GetDataOnMRxD
615
 
616
 
617
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.