OpenCores
URL https://opencores.org/ocsvn/ethmac10g/ethmac10g/trunk

Subversion Repositories ethmac10g

[/] [ethmac10g/] [tags/] [V10/] [M2_1E.v] - Blame information for rev 72

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 fisher5090
`timescale 1ns / 1ps
2
////////////////////////////////////////////////////////////////////////////////
3
// Company: 
4
// Engineer:
5
//
6
// Create Date:    11:54:49 12/27/05
7
// Design Name:    
8
// Module Name:    M2_1E
9
// Project Name:   
10
// Target Device:  
11
// Tool versions:  
12
// Description:
13
//
14
// Dependencies:
15
// 
16
// Revision:
17
// Revision 0.01 - File Created
18
// Additional Comments:
19
// 
20
////////////////////////////////////////////////////////////////////////////////
21
module M2_1E(E, S0, D0, D1, O);
22
    input E;
23
    input S0;
24
    input D0;
25
    input D1;
26
    output O;
27
 
28
         wire M0,M1;
29
         assign M0 = D0 & ~S0 & E;
30
         assign M1 = D1 & S0 & E;
31
         assign O = M0 | M1;
32
 
33
 
34
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.