OpenCores
URL https://opencores.org/ocsvn/ethmac10g/ethmac10g/trunk

Subversion Repositories ethmac10g

[/] [ethmac10g/] [tags/] [V10/] [bench/] [debug_pause.do] - Blame information for rev 74

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 39 fisher5090
onerror {resume}
2
quietly WaveActivateNextPane {} 0
3
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA
4
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/TX_DATA_VALID
5
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/TX_CLK
6
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/RESET
7
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/TX_START
8
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/TX_UNDERRUN
9
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/TX_ACK
10
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TXD
11
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TXC
12
add wave -noupdate -format Literal -radix unsigned /TransmitTopPause_tb/U_top_module/FC_TRANS_PAUSEDATA
13
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/FC_TRANS_PAUSEVAL
14
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/FC_TX_PAUSEDATA
15
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/FC_TX_PAUSEVALID
16
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/FRAME_START
17
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/reset_int
18
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/DELAY_ACK
19
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/TX_DATA_VALID_REG
20
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_REG
21
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_VALID_DEL1
22
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_DEL1
23
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_VALID_DEL2
24
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_VALID_DEL3
25
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_VALID_DEL4
26
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_VALID_DEL5
27
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_VALID_DEL6
28
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_VALID_DEL7
29
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_VALID_DEL8
30
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_VALID_DEL9
31
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_VALID_DEL10
32
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_VALID_DEL11
33
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_VALID_DEL12
34
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_VALID_DEL13
35
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_VALID_DEL14
36
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_VALID_DEL15
37
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/OVERFLOW_VALID
38
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/shift_pause_data
39
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/load_CRC8
40
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/transmit_pause_frame
41
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/transmit_pause_frame_del
42
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/shift_pause_valid
43
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_DEL2
44
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_DEL3
45
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_DEL4
46
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_DEL5
47
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_DEL6
48
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_DEL7
49
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_DEL8
50
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_DEL9
51
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_DEL10
52
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_DEL11
53
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_DEL12
54
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_DEL13
55
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_DEL14
56
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_DEL15
57
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/OVERFLOW_DATA
58
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/transmit_pause_frame_valid
59
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/store_tx_data_valid
60
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/load_final_CRC
61
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/append_end_frame
62
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_REG
63
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/TX_DATA_VALID_DELAY
64
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/CRC_32_64
65
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/BYTE_COUNTER
66
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/frame_start_del
67
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/append_start_pause
68
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/append_start_pause_del
69
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/reset_err_pause
70
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/store_tx_data_valid
71
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/load_CRC8
72
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/tx_data_int
73
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/U_CRC8/CRC_OUT
74
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/start_CRC8
75
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/START_CRC8_DEL
76
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/insert_error
77
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/store_tx_data
78
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/store_CRC64
79
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/store_valid
80
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/final_byte_count
81
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/byte_count_reg
82
add wave -noupdate -format Literal -radix hexadecimal /TransmitTopPause_tb/U_top_module/CRC_OUT
83
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/append_reg
84
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/length_register
85
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/tx_undderrun_int
86
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/MAX_FRAME_SIZE
87
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/vlan_enabled_int
88
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/jumbo_enabled_int
89
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/tx_enabled_int
90
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/fcs_enabled_int
91
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/reset_tx_int
92
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/read_ifg_int
93
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/apply_pause_delay
94
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/store_pause_frame
95
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/TXD_PAUSE_DEL0
96
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/TXD_PAUSE_DEL1
97
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/TXD_PAUSE_DEL2
98
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/TXC_PAUSE_DEL0
99
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/TXC_PAUSE_DEL1
100
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/TXC_PAUSE_DEL2
101
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/PAUSEVAL_DEL
102
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/PAUSEVAL_DEL1
103
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/PAUSEVAL_DEL2
104
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/RESET_ERR_PAUSE
105
add wave -noupdate -format Logic /TransmitTopPause_tb/U_top_module/set_pause_stats
106
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/store_transmit_pause_value
107
add wave -noupdate -format Literal /TransmitTopPause_tb/U_top_module/pause_frame_counter
108
TreeUpdate [SetDefaultTree]
109
WaveRestoreCursors {{Cursor 1} {713 ns} 0}
110
WaveRestoreZoom {504 ns} {847 ns}
111
configure wave -namecolwidth 403
112
configure wave -valuecolwidth 182
113
configure wave -justifyvalue left
114
configure wave -signalnamewidth 0
115
configure wave -snapdistance 10
116
configure wave -datasetprefix 0
117
configure wave -rowmargin 4
118
configure wave -childrowmargin 2
119
configure wave -gridoffset 0
120
configure wave -gridperiod 1
121
configure wave -griddelta 40
122
configure wave -timeline 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.