OpenCores
URL https://opencores.org/ocsvn/ethmac10g/ethmac10g/trunk

Subversion Repositories ethmac10g

[/] [ethmac10g/] [tags/] [V10/] [dcm0.v] - Blame information for rev 72

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 fisher5090
////////////////////////////////////////////////////////////////////////////////
2
// Copyright (c) 1995-2003 Xilinx, Inc.
3
// All Right Reserved.
4
////////////////////////////////////////////////////////////////////////////////
5
//   ____  ____ 
6
//  /   /\/   / 
7
// /___/  \  /    Vendor: Xilinx 
8
// \   \   \/     Version : 7.1i
9
//  \   \         Application : 
10
//  /   /         Filename : dcm0.v
11
// /___/   /\     Timestamp : 12/22/2005 09:25:19
12
// \   \  /  \ 
13
//  \___\/\___\ 
14
//
15
//Command: 
16
//Design Name: dcm0
17
//
18
// Module dcm0
19
// Generated by Xilinx Architecture Wizard
20
// Written for synthesis tool: XST
21
`timescale 1ns / 1ps
22
 
23
module dcm0(CLKIN_IN,
24
            RST_IN,
25
            CLKIN_IBUFG_OUT,
26
            CLK0_OUT,
27
            CLK2X_OUT,
28
            CLK180_OUT,
29
            LOCKED_OUT);
30
 
31
    input CLKIN_IN;
32
    input RST_IN;
33
   output CLKIN_IBUFG_OUT;
34
   output CLK0_OUT;
35
   output CLK2X_OUT;
36
   output CLK180_OUT;
37
   output LOCKED_OUT;
38
 
39
   wire CLKFB_IN;
40
   wire CLKIN_IBUFG;
41
   wire CLK0_BUF;
42
   wire CLK2X_BUF;
43
   wire CLK180_BUF;
44
   wire GND;
45
 
46
   assign GND = 0;
47
   assign CLKIN_IBUFG_OUT = CLKIN_IBUFG;
48
   assign CLK0_OUT = CLKFB_IN;
49
   IBUFG CLKIN_IBUFG_INST (.I(CLKIN_IN),
50
                           .O(CLKIN_IBUFG));
51
   BUFG CLK0_BUFG_INST (.I(CLK0_BUF),
52
                        .O(CLKFB_IN));
53
   BUFG CLK2X_BUFG_INST (.I(CLK2X_BUF),
54
                         .O(CLK2X_OUT));
55
   BUFG CLK180_BUFG_INST (.I(CLK180_BUF),
56
                          .O(CLK180_OUT));
57
   DCM DCM_INST (.CLKFB(CLKFB_IN),
58
                 .CLKIN(CLKIN_IBUFG),
59
                 .DSSEN(GND),
60
                 .PSCLK(GND),
61
                 .PSEN(GND),
62
                 .PSINCDEC(GND),
63
                 .RST(RST_IN),
64
                 .CLKDV(),
65
                 .CLKFX(),
66
                 .CLKFX180(),
67
                 .CLK0(CLK0_BUF),
68
                 .CLK2X(CLK2X_BUF),
69
                 .CLK2X180(),
70
                 .CLK90(),
71
                 .CLK180(CLK180_BUF),
72
                 .CLK270(),
73
                 .LOCKED(LOCKED_OUT),
74
                 .PSDONE(),
75
                 .STATUS());
76
   // synthesis attribute CLK_FEEDBACK of DCM_INST is "1X"
77
   // synthesis attribute CLKDV_DIVIDE of DCM_INST is "2.000000"
78
   // synthesis attribute CLKFX_DIVIDE of DCM_INST is "1"
79
   // synthesis attribute CLKFX_MULTIPLY of DCM_INST is "4"
80
   // synthesis attribute CLKIN_DIVIDE_BY_2 of DCM_INST is "FALSE"
81
   // synthesis attribute CLKIN_PERIOD of DCM_INST is "6.400000"
82
   // synthesis attribute CLKOUT_PHASE_SHIFT of DCM_INST is "NONE"
83
   // synthesis attribute DESKEW_ADJUST of DCM_INST is "SYSTEM_SYNCHRONOUS"
84
   // synthesis attribute DFS_FREQUENCY_MODE of DCM_INST is "LOW"
85
   // synthesis attribute DLL_FREQUENCY_MODE of DCM_INST is "LOW"
86
   // synthesis attribute DUTY_CYCLE_CORRECTION of DCM_INST is "TRUE"
87
   // synthesis attribute FACTORY_JF of DCM_INST is "C080"
88
   // synthesis attribute PHASE_SHIFT of DCM_INST is "0"
89
   // synthesis attribute STARTUP_WAIT of DCM_INST is "FALSE"
90
   // synopsys translate_off
91
   defparam DCM_INST.CLK_FEEDBACK = "1X";
92
   defparam DCM_INST.CLKDV_DIVIDE = 2.000000;
93
   defparam DCM_INST.CLKFX_DIVIDE = 1;
94
   defparam DCM_INST.CLKFX_MULTIPLY = 4;
95
   defparam DCM_INST.CLKIN_DIVIDE_BY_2 = "FALSE";
96
   defparam DCM_INST.CLKIN_PERIOD = 6.400000;
97
   defparam DCM_INST.CLKOUT_PHASE_SHIFT = "NONE";
98
   defparam DCM_INST.DESKEW_ADJUST = "SYSTEM_SYNCHRONOUS";
99
   defparam DCM_INST.DFS_FREQUENCY_MODE = "LOW";
100
   defparam DCM_INST.DLL_FREQUENCY_MODE = "LOW";
101
   defparam DCM_INST.DUTY_CYCLE_CORRECTION = "TRUE";
102
   defparam DCM_INST.FACTORY_JF = 16'hC080;
103
   defparam DCM_INST.PHASE_SHIFT = 0;
104
   defparam DCM_INST.STARTUP_WAIT = "FALSE";
105
   // synopsys translate_on
106
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.