OpenCores
URL https://opencores.org/ocsvn/ethmac10g/ethmac10g/trunk

Subversion Repositories ethmac10g

[/] [ethmac10g/] [tags/] [V10/] [rtl/] [verilog/] [rx_engine/] [dcm0.v] - Blame information for rev 72

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 39 fisher5090
////////////////////////////////////////////////////////////////////////////////
2
// Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
3
////////////////////////////////////////////////////////////////////////////////
4
//   ____  ____ 
5
//  /   /\/   / 
6
// /___/  \  /    Vendor: Xilinx 
7
// \   \   \/     Version : 8.1.03i
8
//  \   \         Application : xaw2verilog
9
//  /   /         Filename : dcm0.v
10
// /___/   /\     Timestamp : 05/30/2006 09:16:54
11
// \   \  /  \ 
12
//  \___\/\___\ 
13
//
14
//Command: xaw2verilog -intstyle F:/10G/rx_engine_v2/dcm0.xaw -st dcm0.v
15
//Design Name: dcm0
16
//Device: xc2vp20-6fg676
17
//
18
// Module dcm0
19
// Generated by Xilinx Architecture Wizard
20
// Written for synthesis tool: XST
21
`timescale 1ns / 1ps
22
 
23
module dcm0(CLKIN_IN,
24
            RST_IN,
25
            CLKIN_IBUFG_OUT,
26
            CLK0_OUT,
27
            CLK180_OUT,
28
            LOCKED_OUT);
29
 
30
    input CLKIN_IN;
31
    input RST_IN;
32
   output CLKIN_IBUFG_OUT;
33
   output CLK0_OUT;
34
   output CLK180_OUT;
35
   output LOCKED_OUT;
36
 
37
   wire CLKFB_IN;
38
   wire CLKIN_IBUFG;
39
   wire CLK0_BUF;
40
   wire CLK180_BUF;
41
   wire GND1;
42
 
43
   assign GND1 = 0;
44
   assign CLKIN_IBUFG_OUT = CLKIN_IBUFG;
45
   assign CLK0_OUT = CLKFB_IN;
46
   IBUFG CLKIN_IBUFG_INST (.I(CLKIN_IN),
47
                           .O(CLKIN_IBUFG));
48
   BUFG CLK0_BUFG_INST (.I(CLK0_BUF),
49
                        .O(CLKFB_IN));
50
   BUFG CLK180_BUFG_INST (.I(CLK180_BUF),
51
                          .O(CLK180_OUT));
52
   DCM DCM_INST (.CLKFB(CLKFB_IN),
53
                 .CLKIN(CLKIN_IBUFG),
54
                 .DSSEN(GND1),
55
                 .PSCLK(GND1),
56
                 .PSEN(GND1),
57
                 .PSINCDEC(GND1),
58
                 .RST(RST_IN),
59
                 .CLKDV(),
60
                 .CLKFX(),
61
                 .CLKFX180(),
62
                 .CLK0(CLK0_BUF),
63
                 .CLK2X(),
64
                 .CLK2X180(),
65
                 .CLK90(),
66
                 .CLK180(CLK180_BUF),
67
                 .CLK270(),
68
                 .LOCKED(LOCKED_OUT),
69
                 .PSDONE(),
70
                 .STATUS());
71
   defparam DCM_INST.CLK_FEEDBACK = "1X";
72
   defparam DCM_INST.CLKDV_DIVIDE = 2.000000;
73
   defparam DCM_INST.CLKFX_DIVIDE = 1;
74
   defparam DCM_INST.CLKFX_MULTIPLY = 4;
75
   defparam DCM_INST.CLKIN_DIVIDE_BY_2 = "FALSE";
76
   defparam DCM_INST.CLKIN_PERIOD = 6.400000;
77
   defparam DCM_INST.CLKOUT_PHASE_SHIFT = "FIXED";
78
   defparam DCM_INST.DESKEW_ADJUST = "SYSTEM_SYNCHRONOUS";
79
   defparam DCM_INST.DFS_FREQUENCY_MODE = "LOW";
80
   defparam DCM_INST.DLL_FREQUENCY_MODE = "LOW";
81
   defparam DCM_INST.DUTY_CYCLE_CORRECTION = "TRUE";
82
   defparam DCM_INST.FACTORY_JF = 16'hC080;
83
   defparam DCM_INST.PHASE_SHIFT = 0;
84
   defparam DCM_INST.STARTUP_WAIT = "FALSE";
85
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.