OpenCores
URL https://opencores.org/ocsvn/ethmac10g/ethmac10g/trunk

Subversion Repositories ethmac10g

[/] [ethmac10g/] [tags/] [V10/] [rxClkgen.v] - Blame information for rev 72

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 fisher5090
`timescale 1ns / 1ps
2
////////////////////////////////////////////////////////////////////////////////
3
// Company: 
4
// Engineer:
5
//
6
// Create Date:    09:50:39 12/13/05
7
// Design Name:    
8
// Module Name:    rxClkgen
9
// Project Name:   
10
// Target Device:  
11
// Tool versions:  
12
// Description:
13
//
14
// Dependencies:
15
// 
16
// Revision:
17
// Revision 0.01 - File Created
18
// Additional Comments:
19
// 
20
////////////////////////////////////////////////////////////////////////////////
21
module rxClkgen(rxclk_in, reset, rxclk, rxclk_180, rxclk_2x, locked);
22
    input rxclk_in;
23
    input reset;
24
         output rxclk;
25
    output rxclk_180;
26
         output rxclk_2x;
27
         output locked;
28
 
29
         dcm0 rx_dcm(.CLKIN_IN(rxclk_in),
30
                .RST_IN(reset),
31
                .CLKIN_IBUFG_OUT(rxclk),
32
                .CLK0_OUT(),
33
                                         .CLK2X_OUT(rxclk_2x),
34
                .CLK180_OUT(rxclk_180),
35
                .LOCKED_OUT(locked)
36
                                         );
37
 
38
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.