OpenCores
URL https://opencores.org/ocsvn/ethmac10g/ethmac10g/trunk

Subversion Repositories ethmac10g

[/] [ethmac10g/] [tags/] [V10/] [rxFIFOMgnt.v] - Blame information for rev 72

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 fisher5090
`timescale 1ns / 1ps
2
////////////////////////////////////////////////////////////////////////////////
3
// Company: 
4
// Engineer:
5
//
6
// Create Date:    16:23:08 11/24/05
7
// Design Name:    
8
// Module Name:    rxFIFOMgnt
9
// Project Name:   
10
// Target Device:  
11
// Tool versions:  
12
// Description:
13
//
14
// Dependencies:
15
// 
16
// Revision:
17
// Revision 0.01 - File Created
18
// Additional Comments:
19
// 
20
////////////////////////////////////////////////////////////////////////////////
21
module rxFIFOMgnt(rxclk_180, reset, rxd64, rxc_fifo, inband_fcs, receiving, recv_end, rx_data_valid, rx_data);
22
    input rxclk_180;
23
    input reset;
24
    input [63:0] rxd64;
25
         input [7:0] rxc_fifo;
26
         input receiving;
27
         input recv_end;
28
         input inband_fcs;
29
 
30
         output[7:0] rx_data_valid;
31
         output[63:0] rx_data;
32
 
33
         wire rxfifo_full;
34
         wire rxfifo_empty;
35
         wire[7:0] byte_cnt;
36
         wire fifo_rd_en;
37
         wire fifo_wr_en;
38
 
39
         assign fifo_rd_en = ~rxfifo_empty;
40
         assign fifo_wr_en = receiving & ~recv_end;
41
 
42
         rxdatafifo rxdatain(.clk(rxclk_180),
43
                          .sinit(reset),
44
                          .din(rxd64),
45
                                        .wr_en(fifo_wr_en),
46
                        .rd_en(fifo_rd_en),
47
                          .dout(rx_data),
48
                          .full(rxfifo_full),
49
                          .empty(rxfifo_empty),
50
                          .data_count(byte_cnt));
51
 
52
         rxcntrlfifo rxcntrlin(.clk(rxclk_180),
53
                          .sinit(reset),
54
                          .din(rxc_fifo),
55
                                        .wr_en(fifo_wr_en),
56
                        .rd_en(fifo_rd_en),
57
                          .dout(rx_data_valid),
58
                          .full(),
59
                          .empty());
60
 
61
 
62
 
63
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.