OpenCores
URL https://opencores.org/ocsvn/ethmac10g/ethmac10g/trunk

Subversion Repositories ethmac10g

[/] [ethmac10g/] [trunk/] [rtl/] [verilog/] [rx_engine/] [rxReceiveEngine.v] - Blame information for rev 60

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 39 fisher5090
//////////////////////////////////////////////////////////////////////
2
////                                                                                                                                                                    ////
3
//// MODULE NAME: receive engine                                                                                        ////
4
////                                                                                                                                                                    ////
5
//// DESCRIPTION: Receive Engine Top Level for the 10 Gigabit     ////
6
////     Ethernet MAC.                                                                                                                  ////
7
////                                                                                                                                                                    ////
8
//// This file is part of the 10 Gigabit Ethernet IP core project ////
9
////  http://www.opencores.org/projects/ethmac10g/                                              ////
10
////                                                                                                                                                                    ////
11
//// AUTHOR(S):                                                                                                                                 ////
12
//// Zheng Cao                                                               ////
13
////                                                                                                    ////
14
//////////////////////////////////////////////////////////////////////
15
////                                                                                                                                                                    ////
16
//// Copyright (c) 2005 AUTHORS.  All rights reserved.                     ////
17
////                                                                                                                                                                    ////
18
//// This source file may be used and distributed without         ////
19
//// restriction provided that this copyright statement is not    ////
20
//// removed from the file and that any derivative work contains  ////
21
//// the original copyright notice and the associated disclaimer. ////
22
////                                                              ////
23
//// This source file is free software; you can redistribute it   ////
24
//// and/or modify it under the terms of the GNU Lesser General   ////
25
//// Public License as published by the Free Software Foundation; ////
26
//// either version 2.1 of the License, or (at your option) any   ////
27
//// later version.                                               ////
28
////                                                              ////
29
//// This source is distributed in the hope that it will be       ////
30
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
31
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
32
//// PURPOSE.  See the GNU Lesser General Public License for more ////
33
//// details.                                                     ////
34
////                                                              ////
35
//// You should have received a copy of the GNU Lesser General    ////
36
//// Public License along with this source; if not, download it   ////
37
//// from http://www.opencores.org/lgpl.shtml                                                   ////
38
////                                                                                                                                                                    ////
39
//////////////////////////////////////////////////////////////////////
40
//
41
// CVS REVISION HISTORY:
42
//
43
// $Log: not supported by cvs2svn $
44 60 fisher5090
// Revision 1.3  2006/06/11 12:15:11  fisher5090
45
// no message
46
//
47 39 fisher5090
// Revision 1.1  2005/12/25 16:43:10  Zheng Cao
48
// No flow control included
49
// 
50
//
51
//////////////////////////////////////////////////////////////////////
52
 
53
`include "timescale.v"
54
`include "xgiga_define.v"
55
 
56 60 fisher5090
module rxReceiveEngine(xgmii_rxclk, reset_in, xgmii_rxd, xgmii_rxc, rxStatRegPlus,
57 56 fisher5090
                       cfgRxRegData_in, rx_data, rx_data_valid, rx_good_frame, rxclk_out,
58 39 fisher5090
                       rx_bad_frame, rxCfgofRS, rxTxLinkFault);//, fcTxPauseData, fcTxPauseValid);
59 60 fisher5090
    input xgmii_rxclk; //Input clock of receive engine
60 39 fisher5090
    input reset_in; //Globle reset of receive engine
61 60 fisher5090
    input [31:0] xgmii_rxd; //XGMII RXD
62
    input [3:0] xgmii_rxc;  //XGMII RXC
63 56 fisher5090
    output [17:0] rxStatRegPlus; //Signals for statistics        
64 39 fisher5090
    input [64:0] cfgRxRegData_in; //Signals for configuration
65
    output [63:0] rx_data; //Received data sent to upper layer
66
    output [7:0] rx_data_valid; //Receive data valid indicator
67
    output rx_good_frame; //Indicate that a good frame has been received
68
    output rx_bad_frame; //Indicate that a bad frame has been received
69
         output[2:0] rxCfgofRS; //
70
    output [1:0] rxTxLinkFault;
71 56 fisher5090
         output rxclk_out;
72 39 fisher5090
//       output [31:0] fcTxPauseData;
73
//       output fcTxPauseValid;
74
 
75
         parameter TP =1;
76
 
77
    wire rxclk;
78
         wire rxclk_180;
79
         wire locked;
80
         wire reset_dcm;
81
         wire reset;
82
 
83
         reg [47:0]MAC_Addr;     //MAC Address used in receiving control frame.
84
    reg      vlan_enable; //VLAN Enable
85
         reg      recv_enable; //Receiver Enable
86
         reg      inband_fcs;   //In-band FCS Enable, when this bit is '1', the MAC will pass FCS up to client
87
         reg      jumbo_enable;//Jumbo Frame Enable
88
         reg      recv_rst;             //Receiver reset
89
 
90
         wire start_da, start_lt;
91
         wire tagged_frame;
92
         wire pause_frame;
93
         wire [47:0] da_addr;
94
//       wire [15:0] lt_data;
95
         wire [`COUNTER_WIDTH-1:0] frame_cnt;
96
         wire [2:0]  terminator_location;
97
         wire get_sfd,get_error_code,get_terminator, get_terminator_d1;
98
         wire receiving;
99
         wire receiving_d1,receiving_d2;
100
 
101
 
102
         wire length_error;
103
         wire large_error;
104
         wire small_error;
105
         wire padded_frame;
106
         wire length_65_127;
107
         wire length_128_255;
108
         wire length_256_511;
109
         wire length_512_1023;
110
         wire length_1024_max;
111
         wire jumbo_frame;
112
 
113
         wire local_invalid;
114
         wire broad_valid;
115
         wire multi_valid;
116
 
117
         wire good_frame_get, bad_frame_get;
118
         wire wait_crc_check;
119
 
120
         wire crc_check_valid;
121
         wire crc_check_invalid;
122
         wire check_reset;
123
 
124
         wire [1:0]link_fault;
125
 
126
         //////////////////////////////////////////
127
         // Input Registers
128
         //////////////////////////////////////////
129
 
130
         wire [63:0] rxd64;
131
         wire [63:0] CRC_DATA;
132
         wire [7:0] rxc8;
133
 
134
         assign rxTxLinkFault = link_fault;
135
//       assign fcTxPauseValid = pause_frame;
136
 
137
 
138
         //////////////////////////////////////////
139
         // Read Receiver Configuration Word
140
         //////////////////////////////////////////
141
 
142
         reg[52:0] cfgRxRegData;
143
         always@(posedge rxclk or posedge reset)begin
144
                if(reset)
145
                             cfgRxRegData <=#TP 0;
146
                          else
147
                             cfgRxRegData<=#TP cfgRxRegData_in;
148
         end
149
 
150
         always@(posedge rxclk or posedge reset)begin
151
                if(reset) begin
152
                             MAC_Addr <= 0;
153
                   vlan_enable <= 0;
154
                   recv_enable <= 0;
155
                   inband_fcs  <= 0;
156
                   jumbo_enable <= 0;
157
                   recv_rst <= 0;
158
                          end
159
                          else begin
160
                             MAC_Addr <= cfgRxRegData[47:0];
161
                   vlan_enable <= cfgRxRegData[48];
162
                   recv_enable <= cfgRxRegData[49];
163
                   inband_fcs  <= cfgRxRegData[50];
164
                   jumbo_enable <= cfgRxRegData[51];
165
                   recv_rst <= cfgRxRegData[52];
166
                          end
167
    end
168
     //////////////////////////////////////////////////
169
         // Used to count number of received frames(G&B)
170
         //////////////////////////////////////////////////
171
         reg[7:0] cnt;
172
         reg cnt_en;
173
         always@(posedge rxclk or posedge reset) begin
174
               if (reset)
175
                            cnt_en <=0;
176
                         else if(get_sfd)
177
                  cnt_en <=1;
178
          else if(rx_bad_frame|rx_good_frame)
179
             cnt_en <=0;
180
          else
181
             cnt_en <=cnt_en;
182
    end
183
 
184
    always@(posedge rxclk or posedge reset) begin
185
          if (reset)
186
             cnt <=0;
187
          else if(cnt_en)
188
             cnt<=cnt + 1;
189
          else
190
             cnt <=0;
191
    end
192
 
193
         /////////////////////////////////////////
194
         // Reset signals
195
         /////////////////////////////////////////
196
         assign  reset_dcm = reset_in | recv_rst;
197
         assign  reset = ~locked;
198
 
199
         /////////////////////////////////////////
200
         // Write Configuration Words   of RS 
201
         /////////////////////////////////////////
202
 
203
         assign rxCfgofRS[0] = ~link_fault[0] & link_fault[1]; //get local fault
204
         assign rxCfgofRS[1] = link_fault[0] & link_fault[1];  //get remote fault
205
         assign rxCfgofRS[2] = locked;  //Receive DCM locked
206
 
207
         ////////////////////////////////////////
208
         // Signals for Pause Operation
209
         ////////////////////////////////////////
210
         assign fcTxPauseValid = pause_frame;
211
//       assign fcTxPauseData = {16{1'b0},rxd64[15:0]};
212
 
213
         ////////////////////////////////////////
214
         // Receive Clock Generator
215
         //////////////////////////////////////// 
216 56 fisher5090
    assign rxclk_out = rxclk;
217 60 fisher5090
         rxClkgen rxclk_gen(.rxclk_in(xgmii_rxclk),
218 39 fisher5090
                            .reset(reset_dcm),
219
                                                          .rxclk(rxclk),    // system clock
220
                                                          .rxclk_180(rxclk_180), //reversed clock
221
                                                          .locked(locked)
222
                                                          );
223
 
224
         //////////////////////////////////////
225
    // Rx Engine DataPath
226
         //////////////////////////////////////
227
         rxDataPath datapath_main(.rxclk(rxclk), .reset(reset), .rxd64(rxd64), .rxc8(rxc8), .inband_fcs(inband_fcs), .receiving(receiving),
228
                                  .start_da(start_da), .start_lt(start_lt), .wait_crc_check(wait_crc_check), .get_sfd(get_sfd),
229
                             .get_terminator(get_terminator), .get_error_code(get_error_code), .tagged_frame(tagged_frame), .pause_frame(pause_frame),
230
                                                                          .da_addr(da_addr), .terminator_location(terminator_location), .CRC_DATA(CRC_DATA), .rx_data_valid(rx_data_valid),
231
                                                                          .rx_data(rx_data), .get_terminator_d1(get_terminator_d1),.bad_frame_get(bad_frame_get),.good_frame_get(good_frame_get),
232
                                                                          .check_reset(check_reset),.rx_good_frame(rx_good_frame),.rx_bad_frame(rx_bad_frame));//,.fcTxPauseData(fcTxPauseData));
233
 
234
         //////////////////////////////////////
235
         // Destination Address Checker
236
         //////////////////////////////////////
237
 
238
         rxDAchecker  dachecker(.rxclk(rxclk), .reset(reset), .local_invalid(local_invalid), .broad_valid(broad_valid), .multi_valid(multi_valid), .MAC_Addr(MAC_Addr),
239
                                .da_addr(da_addr));
240
 
241
    /////////////////////////////////////
242
         // Length/Type field checker
243
         /////////////////////////////////////
244
 
245
         rxLenTypChecker lenchecker(.rxclk(rxclk), .reset(reset), .get_terminator(get_terminator), .terminator_location(terminator_location),
246
                                    .jumbo_enable(jumbo_enable), .tagged_frame(tagged_frame), .frame_cnt(frame_cnt), .vlan_enable(vlan_enable),
247
                                                                                 .length_error(length_error), .large_error(large_error),.small_error(small_error), .padded_frame(padded_frame),
248
                                                        .length_65_127(length_65_127), .length_128_255(length_128_255), .length_256_511(length_256_511), .length_512_1023(length_512_1023),
249
                                                        .length_1024_max(length_1024_max), .jumbo_frame(jumbo_frame)
250
                                                                                 );
251
 
252
         /////////////////////////////////////
253
         // Counters used in Receive Engine
254
         /////////////////////////////////////
255
 
256
    rxNumCounter counters(.rxclk(rxclk), .reset(reset), .receiving(receiving), .frame_cnt(frame_cnt));
257
 
258
         /////////////////////////////////////
259
         // State Machine in Receive Process
260
         /////////////////////////////////////
261
 
262
    rxStateMachine statemachine(.rxclk(rxclk), .reset(reset), .recv_enable(recv_enable), .get_sfd(get_sfd), .local_invalid(local_invalid),
263
                                     .length_error(length_error), .crc_check_valid(crc_check_valid), .crc_check_invalid(crc_check_invalid),
264
                                .start_da(start_da), .start_lt(start_lt), .receiving(receiving),.good_frame_get(good_frame_get),
265
                                                                                  .bad_frame_get(bad_frame_get), .get_error_code(get_error_code), .wait_crc_check(wait_crc_check), .get_terminator(get_terminator),
266
                                                                                  .receiving_d1(receiving_d1),.check_reset(check_reset));
267
 
268
         /////////////////////////////////////
269
         // CRC Check module
270
         /////////////////////////////////////
271
         rxCRC crcmodule(.rxclk(rxclk), .reset(reset), .CRC_DATA(CRC_DATA), .get_terminator(get_terminator), .terminator_location(terminator_location),
272
                         .crc_check_invalid(crc_check_invalid), .crc_check_valid(crc_check_valid),.receiving(receiving),.receiving_d1(receiving_d1),
273
                                                  .get_terminator_d1(get_terminator_d1), .wait_crc_check(wait_crc_check),.get_error_code(get_error_code));
274
    /////////////////////////////////////
275
         // RS Layer
276
         /////////////////////////////////////
277 60 fisher5090
    rxRSLayer rx_rs(.rxclk(rxclk), .rxclk_180(rxclk_180), .reset(reset), .link_fault(link_fault), .rxd64(rxd64), .rxc8(rxc8), .rxd_in(xgmii_rxd), .rxc_in(xgmii_rxc));
278 39 fisher5090
 
279
         /////////////////////////////////////
280
         // Statistic module
281
         /////////////////////////////////////
282
         rxStatModule rx_stat(.rxclk(rxclk),.reset(reset),.good_frame_get(good_frame_get), .large_error(large_error),.small_error(small_error), .crc_check_invalid(crc_check_invalid),
283
                 .receiving(receiving), .padded_frame(padded_frame), .pause_frame(pause_frame), .broad_valid(broad_valid), .multi_valid(multi_valid),
284
                                          .length_65_127(length_65_127), .length_128_255(length_128_255), .length_256_511(length_256_511), .length_512_1023(length_512_1023),
285
                                          .length_1024_max(length_1024_max), .jumbo_frame(jumbo_frame),.get_error_code(get_error_code), .rxStatRegPlus(rxStatRegPlus));
286
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.