OpenCores
URL https://opencores.org/ocsvn/eus100lx/eus100lx/trunk

Subversion Repositories eus100lx

[/] [eus100lx/] [trunk/] [fpga/] [picoblaze-asm/] [basicpb.v] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 freza
////////////////////////////////////////////////////////////////////////////////
2
// Copyright (c) 2004 Xilinx, Inc.
3
// All Rights Reserved
4
////////////////////////////////////////////////////////////////////////////////
5
//   ____  ____
6
//  /   /\/   /
7
// /___/  \  /    Vendor: Xilinx
8
// \   \   \/     Version: v1.30
9
//  \   \         Application : KCPSM3
10
//  /   /         Filename: basicpb.v
11
// /___/   /\     
12
// \   \  /  \
13
//  \___\/\___\
14
//
15
//Command: kcpsm3 basicpb.psm
16
//Device: Spartan-3, Spartan-3E, Virtex-II, and Virtex-II Pro FPGAs
17
//Design Name: basicpb
18
//Generated 03Dec2005-22:44:25.
19
//Purpose:
20
// basicpb verilog program definition.
21
//
22
//Reference:
23
// PicoBlaze 8-bit Embedded Microcontroller User Guide
24
////////////////////////////////////////////////////////////////////////////////
25
`timescale 1 ps / 1ps
26
module basicpb (BRAM_DATA, BRAM_ADDR, BRAM_EN, address, instruction, clk);
27
input [17:0] BRAM_DATA;
28
input [9:0]  BRAM_ADDR;
29
input BRAM_EN;
30
input [9:0] address;
31
input clk;
32
output [17:0] instruction;
33
RAMB16_S18_S18 ram_1024_x_18(
34
 .DIA  (16'h0000),
35
 .DIPA  (2'b00),
36
 .ENA (1'b1),
37
 .WEA (1'b0),
38
 .SSRA (1'b0),
39
 .CLKA (clk),
40
 .ADDRA (address),
41
 .DOA (instruction[15:0]),
42
 .DOPA (instruction[17:16]),
43
//
44
 .DIB  (BRAM_DATA[15:0]),
45
 .DIPB  (BRAM_DATA[17:16]),
46
 .ENB (BRAM_EN),
47
 .WEB (1'b1),
48
 .SSRB (1'b0),
49
 .CLKB (clk),
50
 .ADDRB (BRAM_ADDR),
51
 .DOB (),
52
 .DOPB ())
53
/*synthesis
54
init_00 = "0000000000004001E2FF5403C0015404CB015405CC010CFF0BFF0008C20402FF"
55
init_01 = "0000000000000000000000000000000000000000000000000000000000000000"
56
init_02 = "0000000000000000000000000000000000000000000000000000000000000000"
57
init_03 = "0000000000000000000000000000000000000000000000000000000000000000"
58
init_04 = "0000000000000000000000000000000000000000000000000000000000000000"
59
init_05 = "0000000000000000000000000000000000000000000000000000000000000000"
60
init_06 = "0000000000000000000000000000000000000000000000000000000000000000"
61
init_07 = "0000000000000000000000000000000000000000000000000000000000000000"
62
init_08 = "0000000000000000000000000000000000000000000000000000000000000000"
63
init_09 = "0000000000000000000000000000000000000000000000000000000000000000"
64
init_0A = "0000000000000000000000000000000000000000000000000000000000000000"
65
init_0B = "0000000000000000000000000000000000000000000000000000000000000000"
66
init_0C = "0000000000000000000000000000000000000000000000000000000000000000"
67
init_0D = "0000000000000000000000000000000000000000000000000000000000000000"
68
init_0E = "0000000000000000000000000000000000000000000000000000000000000000"
69
init_0F = "0000000000000000000000000000000000000000000000000000000000000000"
70
init_10 = "0000000000000000000000000000000000000000000000000000000000000000"
71
init_11 = "0000000000000000000000000000000000000000000000000000000000000000"
72
init_12 = "0000000000000000000000000000000000000000000000000000000000000000"
73
init_13 = "0000000000000000000000000000000000000000000000000000000000000000"
74
init_14 = "0000000000000000000000000000000000000000000000000000000000000000"
75
init_15 = "0000000000000000000000000000000000000000000000000000000000000000"
76
init_16 = "0000000000000000000000000000000000000000000000000000000000000000"
77
init_17 = "0000000000000000000000000000000000000000000000000000000000000000"
78
init_18 = "0000000000000000000000000000000000000000000000000000000000000000"
79
init_19 = "0000000000000000000000000000000000000000000000000000000000000000"
80
init_1A = "0000000000000000000000000000000000000000000000000000000000000000"
81
init_1B = "0000000000000000000000000000000000000000000000000000000000000000"
82
init_1C = "0000000000000000000000000000000000000000000000000000000000000000"
83
init_1D = "0000000000000000000000000000000000000000000000000000000000000000"
84
init_1E = "0000000000000000000000000000000000000000000000000000000000000000"
85
init_1F = "0000000000000000000000000000000000000000000000000000000000000000"
86
init_20 = "0000000000000000000000000000000000000000000000000000000000000000"
87
init_21 = "0000000000000000000000000000000000000000000000000000000000000000"
88
init_22 = "0000000000000000000000000000000000000000000000000000000000000000"
89
init_23 = "0000000000000000000000000000000000000000000000000000000000000000"
90
init_24 = "0000000000000000000000000000000000000000000000000000000000000000"
91
init_25 = "0000000000000000000000000000000000000000000000000000000000000000"
92
init_26 = "0000000000000000000000000000000000000000000000000000000000000000"
93
init_27 = "0000000000000000000000000000000000000000000000000000000000000000"
94
init_28 = "0000000000000000000000000000000000000000000000000000000000000000"
95
init_29 = "0000000000000000000000000000000000000000000000000000000000000000"
96
init_2A = "0000000000000000000000000000000000000000000000000000000000000000"
97
init_2B = "0000000000000000000000000000000000000000000000000000000000000000"
98
init_2C = "0000000000000000000000000000000000000000000000000000000000000000"
99
init_2D = "0000000000000000000000000000000000000000000000000000000000000000"
100
init_2E = "0000000000000000000000000000000000000000000000000000000000000000"
101
init_2F = "0000000000000000000000000000000000000000000000000000000000000000"
102
init_30 = "0000000000000000000000000000000000000000000000000000000000000000"
103
init_31 = "0000000000000000000000000000000000000000000000000000000000000000"
104
init_32 = "0000000000000000000000000000000000000000000000000000000000000000"
105
init_33 = "0000000000000000000000000000000000000000000000000000000000000000"
106
init_34 = "0000000000000000000000000000000000000000000000000000000000000000"
107
init_35 = "0000000000000000000000000000000000000000000000000000000000000000"
108
init_36 = "0000000000000000000000000000000000000000000000000000000000000000"
109
init_37 = "0000000000000000000000000000000000000000000000000000000000000000"
110
init_38 = "0000000000000000000000000000000000000000000000000000000000000000"
111
init_39 = "0000000000000000000000000000000000000000000000000000000000000000"
112
init_3A = "0000000000000000000000000000000000000000000000000000000000000000"
113
init_3B = "0000000000000000000000000000000000000000000000000000000000000000"
114
init_3C = "0000000000000000000000000000000000000000000000000000000000000000"
115
init_3D = "0000000000000000000000000000000000000000000000000000000000000000"
116
init_3E = "0000000000000000000000000000000000000000000000000000000000000000"
117
init_3F = "0000000000000000000000000000000000000000000000000000000000000000"
118
initp_00 = "0000000000000000000000000000000000000000000000000000000003377408"
119
initp_01 = "0000000000000000000000000000000000000000000000000000000000000000"
120
initp_02 = "0000000000000000000000000000000000000000000000000000000000000000"
121
initp_03 = "0000000000000000000000000000000000000000000000000000000000000000"
122
initp_04 = "0000000000000000000000000000000000000000000000000000000000000000"
123
initp_05 = "0000000000000000000000000000000000000000000000000000000000000000"
124
initp_06 = "0000000000000000000000000000000000000000000000000000000000000000"
125
initp_07 = "0000000000000000000000000000000000000000000000000000000000000000" */;
126
// synthesis translate_off
127
// Attributes for Simulation
128
defparam ram_1024_x_18.INIT_00  = 256'h0000000000004001E2FF5403C0015404CB015405CC010CFF0BFF0008C20402FF;
129
defparam ram_1024_x_18.INIT_01  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
130
defparam ram_1024_x_18.INIT_02  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
131
defparam ram_1024_x_18.INIT_03  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
132
defparam ram_1024_x_18.INIT_04  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
133
defparam ram_1024_x_18.INIT_05  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
134
defparam ram_1024_x_18.INIT_06  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
135
defparam ram_1024_x_18.INIT_07  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
136
defparam ram_1024_x_18.INIT_08  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
137
defparam ram_1024_x_18.INIT_09  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
138
defparam ram_1024_x_18.INIT_0A  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
139
defparam ram_1024_x_18.INIT_0B  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
140
defparam ram_1024_x_18.INIT_0C  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
141
defparam ram_1024_x_18.INIT_0D  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
142
defparam ram_1024_x_18.INIT_0E  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
143
defparam ram_1024_x_18.INIT_0F  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
144
defparam ram_1024_x_18.INIT_10  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
145
defparam ram_1024_x_18.INIT_11  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
146
defparam ram_1024_x_18.INIT_12  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
147
defparam ram_1024_x_18.INIT_13  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
148
defparam ram_1024_x_18.INIT_14  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
149
defparam ram_1024_x_18.INIT_15  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
150
defparam ram_1024_x_18.INIT_16  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
151
defparam ram_1024_x_18.INIT_17  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
152
defparam ram_1024_x_18.INIT_18  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
153
defparam ram_1024_x_18.INIT_19  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
154
defparam ram_1024_x_18.INIT_1A  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
155
defparam ram_1024_x_18.INIT_1B  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
156
defparam ram_1024_x_18.INIT_1C  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
157
defparam ram_1024_x_18.INIT_1D  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
158
defparam ram_1024_x_18.INIT_1E  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
159
defparam ram_1024_x_18.INIT_1F  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
160
defparam ram_1024_x_18.INIT_20  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
161
defparam ram_1024_x_18.INIT_21  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
162
defparam ram_1024_x_18.INIT_22  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
163
defparam ram_1024_x_18.INIT_23  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
164
defparam ram_1024_x_18.INIT_24  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
165
defparam ram_1024_x_18.INIT_25  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
166
defparam ram_1024_x_18.INIT_26  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
167
defparam ram_1024_x_18.INIT_27  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
168
defparam ram_1024_x_18.INIT_28  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
169
defparam ram_1024_x_18.INIT_29  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
170
defparam ram_1024_x_18.INIT_2A  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
171
defparam ram_1024_x_18.INIT_2B  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
172
defparam ram_1024_x_18.INIT_2C  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
173
defparam ram_1024_x_18.INIT_2D  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
174
defparam ram_1024_x_18.INIT_2E  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
175
defparam ram_1024_x_18.INIT_2F  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
176
defparam ram_1024_x_18.INIT_30  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
177
defparam ram_1024_x_18.INIT_31  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
178
defparam ram_1024_x_18.INIT_32  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
179
defparam ram_1024_x_18.INIT_33  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
180
defparam ram_1024_x_18.INIT_34  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
181
defparam ram_1024_x_18.INIT_35  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
182
defparam ram_1024_x_18.INIT_36  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
183
defparam ram_1024_x_18.INIT_37  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
184
defparam ram_1024_x_18.INIT_38  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
185
defparam ram_1024_x_18.INIT_39  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
186
defparam ram_1024_x_18.INIT_3A  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
187
defparam ram_1024_x_18.INIT_3B  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
188
defparam ram_1024_x_18.INIT_3C  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
189
defparam ram_1024_x_18.INIT_3D  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
190
defparam ram_1024_x_18.INIT_3E  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
191
defparam ram_1024_x_18.INIT_3F  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
192
defparam ram_1024_x_18.INITP_00 = 256'h0000000000000000000000000000000000000000000000000000000003377408;
193
defparam ram_1024_x_18.INITP_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
194
defparam ram_1024_x_18.INITP_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
195
defparam ram_1024_x_18.INITP_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
196
defparam ram_1024_x_18.INITP_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
197
defparam ram_1024_x_18.INITP_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
198
defparam ram_1024_x_18.INITP_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
199
defparam ram_1024_x_18.INITP_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
200
// synthesis translate_on
201
// Attributes for XST (Synplicity attributes are in-line)
202
// synthesis attribute INIT_00  of ram_1024_x_18 is "0000000000004001E2FF5403C0015404CB015405CC010CFF0BFF0008C20402FF"
203
// synthesis attribute INIT_01  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
204
// synthesis attribute INIT_02  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
205
// synthesis attribute INIT_03  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
206
// synthesis attribute INIT_04  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
207
// synthesis attribute INIT_05  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
208
// synthesis attribute INIT_06  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
209
// synthesis attribute INIT_07  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
210
// synthesis attribute INIT_08  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
211
// synthesis attribute INIT_09  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
212
// synthesis attribute INIT_0A  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
213
// synthesis attribute INIT_0B  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
214
// synthesis attribute INIT_0C  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
215
// synthesis attribute INIT_0D  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
216
// synthesis attribute INIT_0E  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
217
// synthesis attribute INIT_0F  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
218
// synthesis attribute INIT_10  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
219
// synthesis attribute INIT_11  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
220
// synthesis attribute INIT_12  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
221
// synthesis attribute INIT_13  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
222
// synthesis attribute INIT_14  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
223
// synthesis attribute INIT_15  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
224
// synthesis attribute INIT_16  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
225
// synthesis attribute INIT_17  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
226
// synthesis attribute INIT_18  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
227
// synthesis attribute INIT_19  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
228
// synthesis attribute INIT_1A  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
229
// synthesis attribute INIT_1B  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
230
// synthesis attribute INIT_1C  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
231
// synthesis attribute INIT_1D  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
232
// synthesis attribute INIT_1E  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
233
// synthesis attribute INIT_1F  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
234
// synthesis attribute INIT_20  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
235
// synthesis attribute INIT_21  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
236
// synthesis attribute INIT_22  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
237
// synthesis attribute INIT_23  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
238
// synthesis attribute INIT_24  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
239
// synthesis attribute INIT_25  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
240
// synthesis attribute INIT_26  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
241
// synthesis attribute INIT_27  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
242
// synthesis attribute INIT_28  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
243
// synthesis attribute INIT_29  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
244
// synthesis attribute INIT_2A  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
245
// synthesis attribute INIT_2B  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
246
// synthesis attribute INIT_2C  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
247
// synthesis attribute INIT_2D  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
248
// synthesis attribute INIT_2E  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
249
// synthesis attribute INIT_2F  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
250
// synthesis attribute INIT_30  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
251
// synthesis attribute INIT_31  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
252
// synthesis attribute INIT_32  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
253
// synthesis attribute INIT_33  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
254
// synthesis attribute INIT_34  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
255
// synthesis attribute INIT_35  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
256
// synthesis attribute INIT_36  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
257
// synthesis attribute INIT_37  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
258
// synthesis attribute INIT_38  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
259
// synthesis attribute INIT_39  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
260
// synthesis attribute INIT_3A  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
261
// synthesis attribute INIT_3B  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
262
// synthesis attribute INIT_3C  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
263
// synthesis attribute INIT_3D  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
264
// synthesis attribute INIT_3E  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
265
// synthesis attribute INIT_3F  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
266
// synthesis attribute INITP_00 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000003377408"
267
// synthesis attribute INITP_01 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
268
// synthesis attribute INITP_02 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
269
// synthesis attribute INITP_03 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
270
// synthesis attribute INITP_04 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
271
// synthesis attribute INITP_05 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
272
// synthesis attribute INITP_06 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
273
// synthesis attribute INITP_07 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
274
endmodule
275
// END OF FILE basicpb.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.