OpenCores
URL https://opencores.org/ocsvn/fade_ether_protocol/fade_ether_protocol/trunk

Subversion Repositories fade_ether_protocol

[/] [fade_ether_protocol/] [trunk/] [stable_jumbo_frames_version/] [fpga/] [fade_atlys.tcl] - Blame information for rev 44

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 23 wzab
# 
2
# Project automation script for fade64 
3
# 
4
# Created for ISE version 14.7
5
# 
6
# This file contains several Tcl procedures (procs) that you can use to automate
7
# your project by running from xtclsh or the Project Navigator Tcl console.
8
# If you load this file (using the Tcl command: source /home/xl/ise_projects/CBM/fade_10g_3/prj/fade64/fade64.tcl), then you can
9
# run any of the procs included here.
10
# 
11
# This script is generated assuming your project has HDL sources.
12
# Several of the defined procs won't apply to an EDIF or NGC based project.
13
# If that is the case, simply remove them from this script.
14
# 
15
# You may also edit any of these procs to customize them. See comments in each
16
# proc for more instructions.
17
# 
18
# This file contains the following procedures:
19
# 
20
# Top Level procs (meant to be called directly by the user):
21
#    run_process: you can use this top-level procedure to run any processes
22
#        that you choose to by adding and removing comments, or by
23
#        adding new entries.
24
#    rebuild_project: you can alternatively use this top-level procedure
25
#        to recreate your entire project, and the run selected processes.
26
# 
27
# Lower Level (helper) procs (called under in various cases by the top level procs):
28
#    show_help: print some basic information describing how this script works
29
#    add_source_files: adds the listed source files to your project.
30
#    set_project_props: sets the project properties that were in effect when this
31
#        script was generated.
32
#    create_libraries: creates and adds file to VHDL libraries that were defined when
33
#        this script was generated.
34
#    set_process_props: set the process properties as they were set for your project
35
#        when this script was generated.
36
# 
37
 
38
set myProject "fade_atlys"
39
set myScript "fade_atlys.tcl"
40
 
41
# 
42
# Main (top-level) routines
43
# 
44
# run_process
45
# This procedure is used to run processes on an existing project. You may comment or
46
# uncomment lines to control which processes are run. This routine is set up to run
47
# the Implement Design and Generate Programming File processes by default. This proc
48
# also sets process properties as specified in the "set_process_props" proc. Only
49
# those properties which have values different from their current settings in the project
50
# file will be modified in the project.
51
# 
52
proc run_process {} {
53
 
54
   global myScript
55
   global myProject
56
 
57
   ## put out a 'heartbeat' - so we know something's happening.
58
   puts "\n$myScript: running ($myProject)...\n"
59
 
60
   if { ! [ open_project ] } {
61
      return false
62
   }
63
 
64
   set_process_props
65
   #
66
   # Remove the comment characters (#'s) to enable the following commands 
67
   # process run "Synthesize"
68
   # process run "Translate"
69
   # process run "Map"
70
   # process run "Place & Route"
71
   #
72
   set task "Implement Design"
73
   if { ! [run_task $task] } {
74
      puts "$myScript: $task run failed, check run output for details."
75
      project close
76
      return
77
   }
78
 
79
   set task "Generate Programming File"
80
   if { ! [run_task $task] } {
81
      puts "$myScript: $task run failed, check run output for details."
82
      project close
83
      return
84
   }
85
 
86
   puts "Run completed (successfully)."
87
   project close
88
 
89
}
90
 
91
# 
92
# rebuild_project
93
# 
94
# This procedure renames the project file (if it exists) and recreates the project.
95
# It then sets project properties and adds project sources as specified by the
96
# set_project_props and add_source_files support procs. It recreates VHDL Libraries
97
# as they existed at the time this script was generated.
98
# 
99
# It then calls run_process to set process properties and run selected processes.
100
# 
101
proc rebuild_project {} {
102
 
103
   global myScript
104
   global myProject
105
 
106
   project close
107
   ## put out a 'heartbeat' - so we know something's happening.
108
   puts "\n$myScript: Rebuilding ($myProject)...\n"
109
 
110
   set proj_exts [ list ise xise gise ]
111
   foreach ext $proj_exts {
112
      set proj_name "${myProject}.$ext"
113
      if { [ file exists $proj_name ] } {
114
         file delete $proj_name
115
      }
116
   }
117
 
118
   project new $myProject
119
   set_project_props
120
   add_source_files
121
   create_libraries
122
   puts "$myScript: project rebuild completed."
123
 
124
   run_process
125
 
126
}
127
 
128
# 
129
# Support Routines
130
# 
131
 
132
# 
133
proc run_task { task } {
134
 
135
   # helper proc for run_process
136
 
137
   puts "Running '$task'"
138
   set result [ process run "$task" ]
139
   #
140
   # check process status (and result)
141
   set status [ process get $task status ]
142
   if { ( ( $status != "up_to_date" ) && \
143
            ( $status != "warnings" ) ) || \
144
         ! $result } {
145
      return false
146
   }
147
   return true
148
}
149
 
150
# 
151
# show_help: print information to help users understand the options available when
152
#            running this script.
153
# 
154
proc show_help {} {
155
 
156
   global myScript
157
 
158
   puts ""
159
   puts "usage: xtclsh $myScript <options>"
160
   puts "       or you can run xtclsh and then enter 'source $myScript'."
161
   puts ""
162
   puts "options:"
163
   puts "   run_process       - set properties and run processes."
164
   puts "   rebuild_project   - rebuild the project from scratch and run processes."
165
   puts "   set_project_props - set project properties (device, speed, etc.)"
166
   puts "   add_source_files  - add source files"
167
   puts "   create_libraries  - create vhdl libraries"
168
   puts "   set_process_props - set process property values"
169
   puts "   show_help         - print this message"
170
   puts ""
171
}
172
 
173
proc open_project {} {
174
 
175
   global myScript
176
   global myProject
177
 
178
   if { ! [ file exists ${myProject}.xise ] } {
179
      ## project file isn't there, rebuild it.
180
      puts "Project $myProject not found. Use project_rebuild to recreate it."
181
      return false
182
   }
183
 
184
   project open $myProject
185
 
186
   return true
187
 
188
}
189
# 
190
# set_project_props
191
# 
192
# This procedure sets the project properties as they were set in the project
193
# at the time this script was generated.
194
# 
195
proc set_project_props {} {
196
 
197
   global myScript
198
 
199
   if { ! [ open_project ] } {
200
      return false
201
   }
202
 
203
   puts "$myScript: Setting project properties..."
204
 
205
   project set family "Spartan6"
206
   project set device "xc6slx45"
207
   project set package "csg324"
208
   project set speed "-2"
209
   project set top_level_module_type "HDL"
210
   project set synthesis_tool "XST (VHDL/Verilog)"
211
   project set simulator "ISim (VHDL/Verilog)"
212
   project set "Preferred Language" "VHDL"
213
   project set "Enable Message Filtering" "false"
214
 
215
}
216
 
217
 
218
# 
219
# add_source_files
220
# 
221
# This procedure add the source files that were known to the project at the
222
# time this script was generated.
223
# 
224
proc add_source_files {} {
225
 
226
   global myScript
227
 
228
   if { ! [ open_project ] } {
229
      return false
230
   }
231
 
232
   puts "$myScript: Adding sources to project..."
233
 
234
   xfile add "src/atlys/ack_fifo.xco"
235
   xfile add "src/atlys/dcm1.xco"
236
   xfile add "src/atlys/eth_receiver8.vhd"
237
   xfile add "src/atlys/eth_sender8.vhd"
238
   xfile add "src/atlys/atlys_eth.ucf"
239
   xfile add "src/atlys/atlys_eth_top.vhd"
240
   xfile add "src/cmd_proc.vhd"
241
   xfile add "src/desc_manager_simple.vhd"
242
   xfile add "src/desc_mgr_pkg.vhd"
243
   xfile add "src/dpram_inf.vhd"
244
   xfile add "src/pkg_newcrc32_d8.vhd"
245
   xfile add "src/pkt_ack_pkg.vhd"
246
   xfile add "src/pkt_desc_pkg.vhd"
247
   puts ""
248
   puts "WARNING: project contains IP cores, synthesis will fail if any of the cores require regenerating."
249
   puts ""
250
 
251
   # Set the Top Module as well...
252
   project set top "beh" "atlys_eth"
253
 
254
   puts "$myScript: project sources reloaded."
255
 
256
} ; # end add_source_files
257
 
258
# 
259
# create_libraries
260
# 
261
# This procedure defines VHDL libraries and associates files with those libraries.
262
# It is expected to be used when recreating the project. Any libraries defined
263
# when this script was generated are recreated by this procedure.
264
# 
265
proc create_libraries {} {
266
 
267
   global myScript
268
 
269
   if { ! [ open_project ] } {
270
      return false
271
   }
272
 
273
   puts "$myScript: Creating libraries..."
274
 
275
 
276
   # must close the project or library definitions aren't saved.
277
   project save
278
 
279
} ; # end create_libraries
280
 
281
# 
282
# set_process_props
283
# 
284
# This procedure sets properties as requested during script generation (either
285
# all of the properties, or only those modified from their defaults).
286
# 
287
proc set_process_props {} {
288
 
289
   global myScript
290
 
291
   if { ! [ open_project ] } {
292
      return false
293
   }
294
 
295
   puts "$myScript: setting process properties..."
296
 
297
   project set "Compiled Library Directory" "\$XILINX/<language>/<simulator>"
298
   project set "Global Optimization" "Speed" -process "Map"
299
   project set "Pack I/O Registers/Latches into IOBs" "For Inputs and Outputs" -process "Map"
300
   project set "Place And Route Mode" "Route Only" -process "Place & Route"
301
   project set "Regenerate Core" "Under Current Project Setting" -process "Regenerate Core"
302
   project set "Filter Files From Compile Order" "true"
303
   project set "Last Applied Goal" "Timing Performance"
304
   project set "Last Applied Strategy" "Performance with Physical Synthesis;/home/xl/Xilinx/14.7/ISE_DS/ISE/spartan6/data/spartan6_performance_with_physicalsynthesis.xds"
305
   project set "Last Unlock Status" "false"
306
   project set "Manual Compile Order" "false"
307
   project set "Placer Effort Level" "High" -process "Map"
308
   project set "Extra Cost Tables" "0" -process "Map"
309
   project set "LUT Combining" "Off" -process "Map"
310
   project set "Combinatorial Logic Optimization" "true" -process "Map"
311
   project set "Starting Placer Cost Table (1-100)" "1" -process "Map"
312
   project set "Power Reduction" "Off" -process "Map"
313
   project set "Report Fastest Path(s) in Each Constraint" "true" -process "Generate Post-Place & Route Static Timing"
314
   project set "Generate Datasheet Section" "true" -process "Generate Post-Place & Route Static Timing"
315
   project set "Generate Timegroups Section" "false" -process "Generate Post-Place & Route Static Timing"
316
   project set "Report Fastest Path(s) in Each Constraint" "true" -process "Generate Post-Map Static Timing"
317
   project set "Generate Datasheet Section" "true" -process "Generate Post-Map Static Timing"
318
   project set "Generate Timegroups Section" "false" -process "Generate Post-Map Static Timing"
319
   project set "Project Description" ""
320
   project set "Property Specification in Project File" "Store all values"
321
   project set "Reduce Control Sets" "Auto" -process "Synthesize - XST"
322
   project set "Shift Register Minimum Size" "2" -process "Synthesize - XST"
323
   project set "Case Implementation Style" "None" -process "Synthesize - XST"
324
   project set "RAM Extraction" "true" -process "Synthesize - XST"
325
   project set "ROM Extraction" "true" -process "Synthesize - XST"
326
   project set "FSM Encoding Algorithm" "Auto" -process "Synthesize - XST"
327
   project set "Optimization Goal" "Speed" -process "Synthesize - XST"
328
   project set "Optimization Effort" "High" -process "Synthesize - XST"
329
   project set "Resource Sharing" "true" -process "Synthesize - XST"
330
   project set "Shift Register Extraction" "true" -process "Synthesize - XST"
331
   project set "User Browsed Strategy Files" "/home/xl/Xilinx/14.7/ISE_DS/ISE/spartan6/data/spartan6_performance_with_physicalsynthesis.xds"
332
   project set "VHDL Source Analysis Standard" "VHDL-93"
333
   project set "Analysis Effort Level" "Standard" -process "Analyze Power Distribution (XPower Analyzer)"
334
   project set "Analysis Effort Level" "Standard" -process "Generate Text Power Report"
335
   project set "Input TCL Command Script" "" -process "Generate Text Power Report"
336
   project set "Load Physical Constraints File" "Default" -process "Analyze Power Distribution (XPower Analyzer)"
337
   project set "Load Physical Constraints File" "Default" -process "Generate Text Power Report"
338
   project set "Load Simulation File" "Default" -process "Analyze Power Distribution (XPower Analyzer)"
339
   project set "Load Simulation File" "Default" -process "Generate Text Power Report"
340
   project set "Load Setting File" "" -process "Analyze Power Distribution (XPower Analyzer)"
341
   project set "Load Setting File" "" -process "Generate Text Power Report"
342
   project set "Setting Output File" "" -process "Generate Text Power Report"
343
   project set "Produce Verbose Report" "false" -process "Generate Text Power Report"
344
   project set "Other XPWR Command Line Options" "" -process "Generate Text Power Report"
345
   project set "Essential Bits" "false" -process "Generate Programming File"
346
   project set "Other Bitgen Command Line Options" "" -process "Generate Programming File"
347
   project set "Maximum Signal Name Length" "20" -process "Generate IBIS Model"
348
   project set "Show All Models" "false" -process "Generate IBIS Model"
349
   project set "VCCAUX Voltage Level" "2.5V" -process "Generate IBIS Model"
350
   project set "Disable Detailed Package Model Insertion" "false" -process "Generate IBIS Model"
351
   project set "Launch SDK after Export" "true" -process "Export Hardware Design To SDK with Bitstream"
352
   project set "Launch SDK after Export" "true" -process "Export Hardware Design To SDK without Bitstream"
353
   project set "Target UCF File Name" "" -process "Back-annotate Pin Locations"
354
   project set "Ignore User Timing Constraints" "false" -process "Map"
355
   project set "Register Ordering" "4" -process "Map"
356
   project set "Use RLOC Constraints" "Yes" -process "Map"
357
   project set "Other Map Command Line Options" "" -process "Map"
358
   project set "Use LOC Constraints" "true" -process "Translate"
359
   project set "Other Ngdbuild Command Line Options" "" -process "Translate"
360
   project set "Use 64-bit PlanAhead on 64-bit Systems" "true" -process "Floorplan Area/IO/Logic (PlanAhead)"
361
   project set "Use 64-bit PlanAhead on 64-bit Systems" "true" -process "I/O Pin Planning (PlanAhead) - Pre-Synthesis"
362
   project set "Use 64-bit PlanAhead on 64-bit Systems" "true" -process "I/O Pin Planning (PlanAhead) - Post-Synthesis"
363
   project set "Ignore User Timing Constraints" "false" -process "Place & Route"
364
   project set "Other Place & Route Command Line Options" "" -process "Place & Route"
365
   project set "Use DSP Block" "Auto" -process "Synthesize - XST"
366
   project set "UserID Code (8 Digit Hexadecimal)" "0xFFFFFFFF" -process "Generate Programming File"
367
   project set "Configuration Pin Done" "Pull Up" -process "Generate Programming File"
368
   project set "Enable External Master Clock" "false" -process "Generate Programming File"
369
   project set "Create ASCII Configuration File" "false" -process "Generate Programming File"
370
   project set "Create Bit File" "true" -process "Generate Programming File"
371
   project set "Enable BitStream Compression" "false" -process "Generate Programming File"
372
   project set "Run Design Rules Checker (DRC)" "true" -process "Generate Programming File"
373
   project set "Enable Cyclic Redundancy Checking (CRC)" "true" -process "Generate Programming File"
374
   project set "Create IEEE 1532 Configuration File" "false" -process "Generate Programming File"
375
   project set "Create ReadBack Data Files" "false" -process "Generate Programming File"
376
   project set "Configuration Pin Program" "Pull Up" -process "Generate Programming File"
377
   project set "Place MultiBoot Settings into Bitstream" "false" -process "Generate Programming File"
378
   project set "Configuration Rate" "2" -process "Generate Programming File"
379
   project set "Set SPI Configuration Bus Width" "1" -process "Generate Programming File"
380
   project set "JTAG Pin TCK" "Pull Up" -process "Generate Programming File"
381
   project set "JTAG Pin TDI" "Pull Up" -process "Generate Programming File"
382
   project set "JTAG Pin TDO" "Pull Up" -process "Generate Programming File"
383
   project set "JTAG Pin TMS" "Pull Up" -process "Generate Programming File"
384
   project set "Unused IOB Pins" "Pull Down" -process "Generate Programming File"
385
   project set "Watchdog Timer Value" "0xFFFF" -process "Generate Programming File"
386
   project set "Security" "Enable Readback and Reconfiguration" -process "Generate Programming File"
387
   project set "FPGA Start-Up Clock" "CCLK" -process "Generate Programming File"
388
   project set "Done (Output Events)" "Default (4)" -process "Generate Programming File"
389
   project set "Drive Done Pin High" "false" -process "Generate Programming File"
390
   project set "Enable Outputs (Output Events)" "Default (5)" -process "Generate Programming File"
391
   project set "Wait for DCM and PLL Lock (Output Events)" "Default (NoWait)" -process "Generate Programming File"
392
   project set "Release Write Enable (Output Events)" "Default (6)" -process "Generate Programming File"
393
   project set "Enable Internal Done Pipe" "true" -process "Generate Programming File"
394
   project set "Drive Awake Pin During Suspend/Wake Sequence" "false" -process "Generate Programming File"
395
   project set "Enable Suspend/Wake Global Set/Reset" "false" -process "Generate Programming File"
396
   project set "Enable Multi-Pin Wake-Up Suspend Mode" "false" -process "Generate Programming File"
397
   project set "GTS Cycle During Suspend/Wakeup Sequence" "4" -process "Generate Programming File"
398
   project set "GWE Cycle During Suspend/Wakeup Sequence" "5" -process "Generate Programming File"
399
   project set "Wakeup Clock" "Startup Clock" -process "Generate Programming File"
400
   project set "Allow Logic Optimization Across Hierarchy" "true" -process "Map"
401
   project set "Maximum Compression" "false" -process "Map"
402
   project set "Generate Detailed MAP Report" "false" -process "Map"
403
   project set "Map Slice Logic into Unused Block RAMs" "false" -process "Map"
404
   project set "Perform Timing-Driven Packing and Placement" "false"
405
   project set "Trim Unconnected Signals" "true" -process "Map"
406
   project set "Create I/O Pads from Ports" "false" -process "Translate"
407
   project set "Macro Search Path" "" -process "Translate"
408
   project set "Netlist Translation Type" "Timestamp" -process "Translate"
409
   project set "User Rules File for Netlister Launcher" "" -process "Translate"
410
   project set "Allow Unexpanded Blocks" "false" -process "Translate"
411
   project set "Allow Unmatched LOC Constraints" "false" -process "Translate"
412
   project set "Allow Unmatched Timing Group Constraints" "false" -process "Translate"
413
   project set "Perform Advanced Analysis" "false" -process "Generate Post-Place & Route Static Timing"
414
   project set "Report Paths by Endpoint" "3" -process "Generate Post-Place & Route Static Timing"
415
   project set "Report Type" "Verbose Report" -process "Generate Post-Place & Route Static Timing"
416
   project set "Number of Paths in Error/Verbose Report" "3" -process "Generate Post-Place & Route Static Timing"
417
   project set "Stamp Timing Model Filename" "" -process "Generate Post-Place & Route Static Timing"
418
   project set "Report Unconstrained Paths" "" -process "Generate Post-Place & Route Static Timing"
419
   project set "Perform Advanced Analysis" "false" -process "Generate Post-Map Static Timing"
420
   project set "Report Paths by Endpoint" "3" -process "Generate Post-Map Static Timing"
421
   project set "Report Type" "Verbose Report" -process "Generate Post-Map Static Timing"
422
   project set "Number of Paths in Error/Verbose Report" "3" -process "Generate Post-Map Static Timing"
423
   project set "Report Unconstrained Paths" "" -process "Generate Post-Map Static Timing"
424
   project set "Number of Clock Buffers" "16" -process "Synthesize - XST"
425
   project set "Add I/O Buffers" "true" -process "Synthesize - XST"
426
   project set "Global Optimization Goal" "AllClockNets" -process "Synthesize - XST"
427
   project set "Keep Hierarchy" "No" -process "Synthesize - XST"
428
   project set "Max Fanout" "100000" -process "Synthesize - XST"
429
   project set "Register Balancing" "Yes" -process "Synthesize - XST"
430
   project set "Register Duplication" "true" -process "Synthesize - XST"
431
   project set "Library for Verilog Sources" "" -process "Synthesize - XST"
432
   project set "Export Results to XPower Estimator" "" -process "Generate Text Power Report"
433
   project set "Asynchronous To Synchronous" "false" -process "Synthesize - XST"
434
   project set "Automatic BRAM Packing" "false" -process "Synthesize - XST"
435
   project set "BRAM Utilization Ratio" "100" -process "Synthesize - XST"
436
   project set "Bus Delimiter" "<>" -process "Synthesize - XST"
437
   project set "Case" "Maintain" -process "Synthesize - XST"
438
   project set "Cores Search Directories" "" -process "Synthesize - XST"
439
   project set "Cross Clock Analysis" "false" -process "Synthesize - XST"
440
   project set "DSP Utilization Ratio" "100" -process "Synthesize - XST"
441
   project set "Equivalent Register Removal" "true" -process "Synthesize - XST"
442
   project set "FSM Style" "LUT" -process "Synthesize - XST"
443
   project set "Generate RTL Schematic" "Yes" -process "Synthesize - XST"
444
   project set "Generics, Parameters" "" -process "Synthesize - XST"
445
   project set "Hierarchy Separator" "/" -process "Synthesize - XST"
446
   project set "HDL INI File" "" -process "Synthesize - XST"
447
   project set "LUT Combining" "Auto" -process "Synthesize - XST"
448
   project set "Library Search Order" "" -process "Synthesize - XST"
449
   project set "Netlist Hierarchy" "Rebuilt" -process "Synthesize - XST"
450
   project set "Optimize Instantiated Primitives" "true" -process "Synthesize - XST"
451
   project set "Pack I/O Registers into IOBs" "Yes" -process "Synthesize - XST"
452
   project set "Power Reduction" "false" -process "Synthesize - XST"
453
   project set "Read Cores" "true" -process "Synthesize - XST"
454
   project set "Use Clock Enable" "Auto" -process "Synthesize - XST"
455
   project set "Use Synchronous Reset" "Auto" -process "Synthesize - XST"
456
   project set "Use Synchronous Set" "Auto" -process "Synthesize - XST"
457
   project set "Use Synthesis Constraints File" "true" -process "Synthesize - XST"
458
   project set "Verilog Include Directories" "" -process "Synthesize - XST"
459
   project set "Verilog Macros" "" -process "Synthesize - XST"
460
   project set "Work Directory" "/home/xl/ise_projects/CBM/fade_10g_3/prj/fade64/xst" -process "Synthesize - XST"
461
   project set "Write Timing Constraints" "false" -process "Synthesize - XST"
462
   project set "Other XST Command Line Options" "" -process "Synthesize - XST"
463
   project set "Timing Mode" "Performance Evaluation" -process "Map"
464
   project set "Generate Asynchronous Delay Report" "false" -process "Place & Route"
465
   project set "Generate Clock Region Report" "false" -process "Place & Route"
466
   project set "Generate Post-Place & Route Power Report" "false" -process "Place & Route"
467
   project set "Generate Post-Place & Route Simulation Model" "false" -process "Place & Route"
468
   project set "Power Reduction" "false" -process "Place & Route"
469
   project set "Place & Route Effort Level (Overall)" "High" -process "Place & Route"
470
   project set "Auto Implementation Compile Order" "true"
471
   project set "Equivalent Register Removal" "true" -process "Map"
472
   project set "Placer Extra Effort" "Normal" -process "Map"
473
   project set "Power Activity File" "" -process "Map"
474
   project set "Register Duplication" "Off" -process "Map"
475
   project set "Generate Constraints Interaction Report" "false" -process "Generate Post-Map Static Timing"
476
   project set "Synthesis Constraints File" "" -process "Synthesize - XST"
477
   project set "RAM Style" "Auto" -process "Synthesize - XST"
478
   project set "Maximum Number of Lines in Report" "1000" -process "Generate Text Power Report"
479
   project set "MultiBoot: Insert IPROG CMD in the Bitfile" "Enable" -process "Generate Programming File"
480
   project set "Output File Name" "atlys_eth" -process "Generate IBIS Model"
481
   project set "Timing Mode" "Performance Evaluation" -process "Place & Route"
482
   project set "Create Binary Configuration File" "false" -process "Generate Programming File"
483
   project set "Enable Debugging of Serial Mode BitStream" "false" -process "Generate Programming File"
484
   project set "Create Logic Allocation File" "false" -process "Generate Programming File"
485
   project set "Create Mask File" "false" -process "Generate Programming File"
486
   project set "Retry Configuration if CRC Error Occurs" "false" -process "Generate Programming File"
487
   project set "MultiBoot: Starting Address for Next Configuration" "0x00000000" -process "Generate Programming File"
488
   project set "MultiBoot: Starting Address for Golden Configuration" "0x00000000" -process "Generate Programming File"
489
   project set "MultiBoot: Use New Mode for Next Configuration" "true" -process "Generate Programming File"
490
   project set "MultiBoot: User-Defined Register for Failsafe Scheme" "0x0000" -process "Generate Programming File"
491
   project set "Setup External Master Clock Division" "1" -process "Generate Programming File"
492
   project set "Allow SelectMAP Pins to Persist" "false" -process "Generate Programming File"
493
   project set "Mask Pins for Multi-Pin Wake-Up Suspend Mode" "0x00" -process "Generate Programming File"
494
   project set "Enable Multi-Threading" "2" -process "Map"
495
   project set "Generate Constraints Interaction Report" "false" -process "Generate Post-Place & Route Static Timing"
496
   project set "Move First Flip-Flop Stage" "true" -process "Synthesize - XST"
497
   project set "Move Last Flip-Flop Stage" "true" -process "Synthesize - XST"
498
   project set "ROM Style" "Auto" -process "Synthesize - XST"
499
   project set "Safe Implementation" "No" -process "Synthesize - XST"
500
   project set "Power Activity File" "" -process "Place & Route"
501
   project set "Extra Effort (Highest PAR level only)" "Normal" -process "Place & Route"
502
   project set "MultiBoot: Next Configuration Mode" "001" -process "Generate Programming File"
503
   project set "Encrypt Bitstream" "false" -process "Generate Programming File"
504
   project set "Enable Multi-Threading" "4" -process "Place & Route"
505
   project set "AES Initial Vector" "" -process "Generate Programming File"
506
   project set "Encrypt Key Select" "BBRAM" -process "Generate Programming File"
507
   project set "AES Key (Hex String)" "" -process "Generate Programming File"
508
   project set "Input Encryption Key File" "" -process "Generate Programming File"
509
   project set "Functional Model Target Language" "VHDL" -process "View HDL Source"
510
   project set "Change Device Speed To" "-2" -process "Generate Post-Place & Route Static Timing"
511
   project set "Change Device Speed To" "-2" -process "Generate Post-Map Static Timing"
512
 
513
   puts "$myScript: project property values set."
514
 
515
} ; # end set_process_props
516
 
517
proc main {} {
518
 
519
   if { [llength $::argv] == 0 } {
520
      show_help
521
      return true
522
   }
523
 
524
   foreach option $::argv {
525
      switch $option {
526
         "show_help"           { show_help }
527
         "run_process"         { run_process }
528
         "rebuild_project"     { rebuild_project }
529
         "set_project_props"   { set_project_props }
530
         "add_source_files"    { add_source_files }
531
         "create_libraries"    { create_libraries }
532
         "set_process_props"   { set_process_props }
533
         default               { puts "unrecognized option: $option"; show_help }
534
      }
535
   }
536
}
537
 
538
if { $tcl_interactive } {
539
   show_help
540
} else {
541
   if {[catch {main} result]} {
542
      puts "$myScript failed: $result."
543
   }
544
}
545
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.