OpenCores
URL https://opencores.org/ocsvn/fade_ether_protocol/fade_ether_protocol/trunk

Subversion Repositories fade_ether_protocol

[/] [fade_ether_protocol/] [trunk/] [stable_jumbo_frames_version/] [fpga/] [src/] [desc.rec] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 wzab
# This is a record with packet descriptor
2
record pkt_desc
3
# Below are fields definitions
4 35 wzab
# pkt - number of the packet in the data stream
5 15 wzab
pkt,unsigned,32
6 35 wzab
# sequential number of the frame
7 15 wzab
seq,unsigned,16
8
# Flags
9
valid,std_logic
10
confirmed,std_logic
11
sent,std_logic
12 18 wzab
flushed,std_logic
13 15 wzab
end
14
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.