OpenCores
URL https://opencores.org/ocsvn/fade_ether_protocol/fade_ether_protocol/trunk

Subversion Repositories fade_ether_protocol

[/] [fade_ether_protocol/] [trunk/] [stable_jumbo_frames_version/] [fpga/] [src/] [desc_mgr_pkg.vhd] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 wzab
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
use std.textio.all;
5
use ieee.std_logic_textio.all;
6
library work;
7
use work.pkt_ack_pkg.all;
8
 
9
package desc_mgr_pkg is
10
 
11 18 wzab
  constant LOG2_N_OF_PKTS    : integer := 4;
12
  constant N_OF_PKTS         : integer := 2**LOG2_N_OF_PKTS;
13 15 wzab
  constant LOG2_NWRDS_IN_PKT : integer := 10;
14 18 wzab
  constant NWRDS_IN_PKT      : integer := 1024;
15
  constant N_OF_SETS         : integer := 65536;
16 15 wzab
 
17 18 wzab
  -- Commands
18
  constant FCMD_START : integer := 1;
19
  constant FCMD_STOP  : integer := 2;
20
  constant FCMD_ACK   : integer := 3;
21
  constant FCMD_NACK  : integer := 4;
22
  constant FCMD_RESET : integer := 5;
23
 
24 15 wzab
end desc_mgr_pkg;
25
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.