OpenCores
URL https://opencores.org/ocsvn/fft2_size/fft2_size/trunk

Subversion Repositories fft2_size

[/] [fft2_size/] [fft_int/] [bitrev.sv] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 Papayaved
`ifndef _bitrev_
2
`define _bitrev_
3
 
4
module bitrev #(parameter WIDTH)(input [WIDTH-1:0] x, output [WIDTH-1:0] y);
5
        genvar i;
6
        generate for (i = 0; i < WIDTH; i++)
7
                begin :gen
8
                        assign y[WIDTH-1-i] = x[i];
9
                end
10
        endgenerate
11
endmodule :bitrev
12
 
13
`endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.