OpenCores
URL https://opencores.org/ocsvn/fixed_point_arithmetic_parameterized/fixed_point_arithmetic_parameterized/trunk

Subversion Repositories fixed_point_arithmetic_parameterized

[/] [fixed_point_arithmetic_parameterized/] [trunk/] [implementation/] [top.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 samis13
`timescale 1ns / 1ps
2
//////////////////////////////////////////////////////////////////////////////////
3
// Company: 
4
// Engineer: 
5
// 
6
// Create Date:    14:00:23 08/25/2011 
7
// Design Name: 
8
// Module Name:    top 
9
// Project Name: 
10
// Target Devices: 
11
// Tool versions: 
12
// Description: 
13
//
14
// Dependencies: 
15
//
16
// Revision: 
17
// Revision 0.01 - File Created
18
// Additional Comments: 
19
//
20
//////////////////////////////////////////////////////////////////////////////////
21
module top(
22
    input [31:0] a,
23
    input [31:0] b,
24
    output [31:0] c,
25
         input clk,
26
         input start
27
    );
28
        // Inputs
29
        reg [31:0] a_sig;
30
        reg [31:0] b_sig;
31
 
32
        // Outputs
33
        reg [31:0] c_sig;
34
 
35
        // Instantiate the Unit Under Test (UUT)
36
//      qadd #(23,32) uut (a, b, c);
37
//      qmult #(23,32) uut (a, b, c);
38
        qdiv #(15,32)   uut (a, b, start, clk, c);
39
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.