OpenCores
URL https://opencores.org/ocsvn/fixed_point_arithmetic_parameterized/fixed_point_arithmetic_parameterized/trunk

Subversion Repositories fixed_point_arithmetic_parameterized

[/] [fixed_point_arithmetic_parameterized/] [trunk/] [src/] [qdiv.v] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 samis13
`timescale 1ns / 1ps
2
//////////////////////////////////////////////////////////////////////////////////
3
// Company: 
4
// Engineer: 
5
// 
6
// Create Date:    19:39:14 08/24/2011 
7
// Design Name: 
8
// Module Name:    divider 
9
// Project Name: 
10
// Target Devices: 
11
// Tool versions: 
12
// Description: 
13
//
14
// Dependencies: 
15
//
16
// Revision: 
17
// Revision 0.01 - File Created
18
// Additional Comments: 
19
//
20
//////////////////////////////////////////////////////////////////////////////////
21
 
22
module qdiv(
23
        input [N-1:0] dividend,
24
        input [N-1:0] divisor,
25
        input start,
26
        input clk,
27
        output [N-1:0] quotient_out,
28
        output complete
29
        );
30
 
31
        //Parameterized values
32
        parameter Q = 15;
33
        parameter N = 32;
34
 
35
        reg [N-1:0] quotient;
36
        reg [N-1:0] dividend_copy;
37
        reg [2*(N-1)-1:0] divider_copy;
38
 
39
        reg [5:0] bit;
40
        reg done;
41
 
42
        initial done = 1;
43
 
44
        assign quotient_out = quotient;
45
        assign complete = done;
46
 
47
        always @( posedge clk )
48
        begin
49
                if( done && start ) begin
50
 
51
                        done <= 1'b0;
52
                        bit <= N+Q-2;
53
                        quotient <= 0;
54
                        dividend_copy <= {1'b0,dividend[N-2:0]};
55
 
56
                        divider_copy[2*(N-1)-1] <= 0;
57
                        divider_copy[2*(N-1)-2:N-2] <= divisor[N-2:0];
58
                        divider_copy[N-3:0] <= 0;
59
 
60
                        //set sign bit
61
                        if((dividend[N-1] == 1 && divisor[N-1] == 0) || (dividend[N-1] == 0 && divisor[N-1] == 1))
62
                                quotient[N-1] <= 1;
63
                        else
64
                                quotient[N-1] <= 0;
65
                end
66
                else if(!done) begin
67
 
68
                        //compare divisor/dividend
69
                        if(dividend_copy >= divider_copy) begin
70
                                //subtract
71
                                dividend_copy <= dividend_copy - divider_copy;
72
                                //set quotient
73
                                quotient[bit] <= 1'b1;
74
                        end
75
 
76
                        //reduce divisor
77
                        divider_copy <= divider_copy >> 1;
78
 
79
                        //reduce bit counter
80
                        bit <= bit - 1;
81
 
82
                        //stop condition
83
                        if(dividend_copy == 0)
84
                                done <= 1'b1;
85
                end
86
        end
87
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.