OpenCores
URL https://opencores.org/ocsvn/fixed_point_arithmetic_parameterized/fixed_point_arithmetic_parameterized/trunk

Subversion Repositories fixed_point_arithmetic_parameterized

[/] [fixed_point_arithmetic_parameterized/] [trunk/] [testfixtures/] [qdiv_tf.v] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 samis13
`timescale 1ns / 1ps
2
 
3
////////////////////////////////////////////////////////////////////////////////
4
// Company: 
5
// Engineer:
6
//
7
// Create Date:   19:41:28 08/24/2011
8
// Design Name:   divider
9
// Module Name:   C:/Documents and Settings/samskalicky/Desktop/PLE/divider_tf.v
10
// Project Name:  PLE
11
// Target Device:  
12
// Tool versions:  
13
// Description: 
14
//
15
// Verilog Test Fixture created by ISE for module: divider
16
//
17
// Dependencies:
18
// 
19
// Revision:
20
// Revision 0.01 - File Created
21
// Additional Comments:
22
// 
23
////////////////////////////////////////////////////////////////////////////////
24
 
25
module qdiv_tf;
26
 
27
        // Inputs
28
        reg [31:0] dividend;
29
        reg [31:0] divisor;
30
        reg start;
31
        reg clk;
32
 
33
        // Outputs
34
        wire [31:0] quotient;
35
 
36
        // Instantiate the Unit Under Test (UUT)
37
        //module  Params  Name  Signals
38
        qdiv #(15,32)   uut (dividend, divisor, start, clk, quotient);
39
 
40
        initial begin
41
                // Initialize Inputs
42
                dividend[31] = 0;
43
                dividend[30:15] = 64;
44
                dividend[14:0] = 4096;//1048576;//4096;
45
 
46
                divisor[31] = 0;
47
                divisor[30:15] = 2;
48
                divisor[14:0] = 0;
49
                start = 1;
50
                clk = 0;
51
 
52
                // Wait 100 ns for global reset to finish
53
                #100;
54
 
55
                // Add stimulus here
56
                start = 0;
57
        end
58
 
59
        always
60
        begin
61
                #5 clk = ~clk;
62
        end
63
 
64
endmodule
65
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.