OpenCores
URL https://opencores.org/ocsvn/fixed_point_arithmetic_parameterized/fixed_point_arithmetic_parameterized/trunk

Subversion Repositories fixed_point_arithmetic_parameterized

[/] [fixed_point_arithmetic_parameterized/] [trunk/] [testfixtures/] [qmult_tf.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 samis13
`timescale 1ns / 1ps
2
 
3
////////////////////////////////////////////////////////////////////////////////
4
// Company: 
5
// Engineer:
6
//
7
// Create Date:   13:57:25 08/24/2011
8
// Design Name:   q15_mult
9
// Module Name:   C:/Documents and Settings/samskalicky/Desktop/PLE/q15_mult_tf.v
10
// Project Name:  PLE
11
// Target Device:  
12
// Tool versions:  
13
// Description: 
14
//
15
// Verilog Test Fixture created by ISE for module: q15_mult
16
//
17
// Dependencies:
18
// 
19
// Revision:
20
// Revision 0.01 - File Created
21
// Additional Comments:
22
// 
23
////////////////////////////////////////////////////////////////////////////////
24
 
25
module qmult_tf;
26
 
27
        // Inputs
28
        reg [31:0] a;
29
        reg [31:0] b;
30
 
31
        // Outputs
32
        wire [31:0] c;
33
 
34
        // Instantiate the Unit Under Test (UUT)
35
        //module Params Name Signals
36
        qmult #(23,32) uut (a, b, c);
37
 
38
        initial begin
39
                // Initialize Inputs
40
                a[31] = 0;
41
                a[30:23] = 64;
42
                a[22:0] = 1048576;//1048576;//4096;
43
 
44
                b[31] = 1;
45
                b[30:23] = 0;
46
                b[22:0] = 6291456;//6291456;//24576;
47
 
48
                // Wait 100 ns for global reset to finish
49
                #100;
50
 
51
                // Add stimulus here
52
 
53
        end
54
 
55
endmodule
56
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.