OpenCores
URL https://opencores.org/ocsvn/flha/flha/trunk

Subversion Repositories flha

[/] [flha/] [trunk/] [VHDL/] [Core/] [maximum.vhd] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 songching
---- $Author: songching $
2
---- $Date: 2004-04-07 15:38:47 $
3
---- $Revision: 1.1 $
4
----------------------------------------------------------------------
5
---- $Log: not supported by cvs2svn $
6
----------------------------------------------------------------------
7
----
8
---- Copyright (C) 2004 Song Ching Koh, Free Software Foundation, Inc. and OPENCORES.ORG
9
----
10
---- This program is free software; you can redistribute it and/or modify
11
---- it under the terms of the GNU General Public License as published by
12
---- the Free Software Foundation; either version 2 of the License, or
13
---- (at your option) any later version.
14
----
15
---- This program is distributed in the hope that it will be useful,
16
---- but WITHOUT ANY WARRANTY; without even the implied warranty of
17
---- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
18
---- GNU General Public License for more details.
19
----
20
---- You should have received a copy of the GNU General Public License
21
---- along with this program; if not, write to the Free Software
22
---- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
23
 
24
library IEEE;
25
use IEEE.STD_LOGIC_1164.ALL;
26
use IEEE.STD_LOGIC_ARITH.ALL;
27
use IEEE.STD_LOGIC_UNSIGNED.ALL;
28
 
29
--  Uncomment the following lines to use the declarations that are
30
--  provided for instantiating Xilinx primitive components.
31
--library UNISIM;
32
--use UNISIM.VComponents.all;
33
 
34
entity maximum is
35
    Port ( A : in std_logic_vector(7 downto 0);
36
           B : in std_logic_vector(7 downto 0);
37
           C : out std_logic_vector(7 downto 0));
38
end maximum;
39
 
40
architecture maximum_structure of maximum is
41
begin
42
        max_process: process(A, B)
43
        begin
44
                if(A < B) then
45
                        C <= B;
46
                else
47
                        C <= A;
48
                end if;
49
        end process max_process;
50
end maximum_structure;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.