OpenCores
URL https://opencores.org/ocsvn/forth-cpu/forth-cpu/trunk

Subversion Repositories forth-cpu

[/] [forth-cpu/] [trunk/] [timer.vhd] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 howe.r.j.8
-------------------------------------------------------------------------------
2
--| @file timer.vhd
3
--| @brief General Purpose Timer. It is of customizable length,
4
--|        the minimum being 4-bits, one for the actual timing, the other
5
--|        three for control. (timer.vhd, original file name)
6
--|
7
--| @author         Richard James Howe.
8
--| @copyright      Copyright 2017 Richard James Howe.
9
--| @license        MIT
10
--| @email          howe.r.j.89@gmail.com
11
--|
12
--| The control register contains both the value to compare the timer against
13
--| as well as three control bits. Given a "timer_length" value of eight the
14
--| control bits are:
15
--|
16
--| Bit     Input Description
17
--| 7       Clock enable
18
--| 6       Timer reset
19
--| 5       Interrupt enable
20
--| 4 - 0   Timer compare value
21
--|
22
-------------------------------------------------------------------------------
23
 
24
library ieee,work,std;
25
use ieee.std_logic_1164.all;
26
use ieee.numeric_std.all;
27
 
28
entity timer is
29
        generic(
30
                timer_length: positive := 16);
31
        port(
32
                clk:          in  std_ulogic;
33
                rst:          in  std_ulogic;
34
 
35
                we:           in  std_ulogic; -- write enable for control register
36
                control_i:    in  std_ulogic_vector(timer_length - 1 downto 0); -- control register
37
                counter_o:    out std_ulogic_vector(timer_length - 4 downto 0);
38
                irq:          out std_ulogic); -- generate interrupt
39
end entity;
40
 
41
architecture behav of timer is
42
        constant highest_bit:         positive := timer_length - 1;
43
        constant control_enable_bit:  positive := highest_bit;
44
        constant timer_reset_bit:     positive := highest_bit - 1;
45
        constant irq_enable_bit:      positive := highest_bit - 2;
46
        constant timer_highest_bit:   positive := highest_bit - 3;
47
 
48
        signal control_c, control_n:  std_ulogic_vector(highest_bit downto 0) := (others => '0');
49
 
50
        signal reset_timer:           std_ulogic  := '0';
51
        signal enabled:               std_ulogic  := '0';
52
        signal irq_en:                std_ulogic  := '0';
53
 
54
        signal timer_reset:           std_ulogic  := '0';
55
 
56
        signal compare:               std_ulogic_vector(timer_highest_bit downto 0) := (others => '0');
57
        signal count:                 unsigned(timer_highest_bit downto 0)         := (others => '0');
58
begin
59
        assert (timer_length >= 4) report "Timer needs to be at least 4 bits wide: 3 bits for control - 1 for counter" severity failure;
60
 
61
        enabled     <= control_c(control_enable_bit);
62
        reset_timer <= control_c(timer_reset_bit);
63
        irq_en      <= control_c(irq_enable_bit);
64
        compare     <= control_c(timer_highest_bit downto 0);
65
 
66
        counter_o   <= std_ulogic_vector(count);
67
 
68
        clockRegisters: process(clk, rst)
69
        begin
70
                if rst = '1' then
71
                        control_c <= (others => '0');
72
                elsif rising_edge(clk) then
73
                        control_c <= control_n;
74
                end if;
75
        end process;
76
 
77
        counter: process (clk, rst)
78
        begin
79
                if rst = '1' then
80
                        count <= (others => '0');
81
                elsif rising_edge(clk) then
82
                        if reset_timer = '1' or timer_reset = '1' then
83
                                count <= (others => '0');
84
                        elsif enabled = '1' then
85
                                count <= count + 1;
86
                        else
87
                                count <= count;
88
                        end if;
89
                end if;
90
        end process;
91
 
92
        output: process(count, we, control_i, control_c, compare, irq_en, enabled)
93
        begin
94
                irq         <= '0';
95
                control_n   <= control_c;
96
                timer_reset <= '0';
97
 
98
                control_n(timer_reset_bit)  <= '0'; -- reset
99
 
100
                if we = '1' then
101
                        control_n <= control_i;
102
                end if;
103
 
104
                if count = unsigned(compare) and enabled = '1' then
105
                        if irq_en = '1' then
106
                                irq <= '1';
107
                        end if;
108
                        timer_reset <= '1';
109
                end if;
110
        end process;
111
end architecture;
112
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.