OpenCores
URL https://opencores.org/ocsvn/fp_log/fp_log/trunk

Subversion Repositories fp_log

[/] [fp_log/] [trunk/] [LAU/] [Virtex 4/] [DP-LAU/] [comp_eq_11ones.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 NikosAl
--------------------------------------------------------------------------------
2
-- Copyright (c) 1995-2008 Xilinx, Inc.  All rights reserved.
3
--------------------------------------------------------------------------------
4
--   ____  ____
5
--  /   /\/   /
6
-- /___/  \  /    Vendor: Xilinx
7
-- \   \   \/     Version: K.39
8
--  \   \         Application: netgen
9
--  /   /         Filename: comp_eq_11ones.vhd
10
-- /___/   /\     Timestamp: Tue Sep 22 14:00:29 2009
11
-- \   \  /  \ 
12
--  \___\/\___\
13
--             
14
-- Command      : -intstyle ise -w -sim -ofmt vhdl "C:\Documents and Settings\Administrator\Desktop\Felsenstein Coprocessor\Logarithm LUT based\HW Implementation\Coregen\tmp\_cg\comp_eq_11ones.ngc" "C:\Documents and Settings\Administrator\Desktop\Felsenstein Coprocessor\Logarithm LUT based\HW Implementation\Coregen\tmp\_cg\comp_eq_11ones.vhd" 
15
-- Device       : 4vsx55ff1148-12
16
-- Input file   : C:/Documents and Settings/Administrator/Desktop/Felsenstein Coprocessor/Logarithm LUT based/HW Implementation/Coregen/tmp/_cg/comp_eq_11ones.ngc
17
-- Output file  : C:/Documents and Settings/Administrator/Desktop/Felsenstein Coprocessor/Logarithm LUT based/HW Implementation/Coregen/tmp/_cg/comp_eq_11ones.vhd
18
-- # of Entities        : 1
19
-- Design Name  : comp_eq_11ones
20
-- Xilinx       : C:\Xilinx\10.1\ISE
21
--             
22
-- Purpose:    
23
--     This VHDL netlist is a verification model and uses simulation 
24
--     primitives which may not represent the true implementation of the 
25
--     device, however the netlist is functionally correct and should not 
26
--     be modified. This file cannot be synthesized and should only be used 
27
--     with supported simulation tools.
28
--             
29
-- Reference:  
30
--     Development System Reference Guide, Chapter 23
31
--     Synthesis and Simulation Design Guide, Chapter 6
32
--             
33
--------------------------------------------------------------------------------
34
 
35
 
36
-- synthesis translate_off
37
library IEEE;
38
use IEEE.STD_LOGIC_1164.ALL;
39
library UNISIM;
40
use UNISIM.VCOMPONENTS.ALL;
41
use UNISIM.VPKG.ALL;
42
 
43
entity comp_eq_11ones is
44
  port (
45
    sclr : in STD_LOGIC := 'X';
46
    qa_eq_b : out STD_LOGIC;
47
    clk : in STD_LOGIC := 'X';
48
    a : in STD_LOGIC_VECTOR ( 10 downto 0 )
49
  );
50
end comp_eq_11ones;
51
 
52
architecture STRUCTURE of comp_eq_11ones is
53
  signal BU2_N01 : STD_LOGIC;
54
  signal BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o12_17 : STD_LOGIC;
55
 
56
  signal BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o7_16 : STD_LOGIC;
57
 
58
  signal BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_temp_result : STD_LOGIC;
59
  signal BU2_a_ge_b : STD_LOGIC;
60
  signal NLW_VCC_P_UNCONNECTED : STD_LOGIC;
61
  signal NLW_GND_G_UNCONNECTED : STD_LOGIC;
62
  signal a_2 : STD_LOGIC_VECTOR ( 10 downto 0 );
63
begin
64
  a_2(10) <= a(10);
65
  a_2(9) <= a(9);
66
  a_2(8) <= a(8);
67
  a_2(7) <= a(7);
68
  a_2(6) <= a(6);
69
  a_2(5) <= a(5);
70
  a_2(4) <= a(4);
71
  a_2(3) <= a(3);
72
  a_2(2) <= a(2);
73
  a_2(1) <= a(1);
74
  a_2(0) <= a(0);
75
  VCC_0 : VCC
76
    port map (
77
      P => NLW_VCC_P_UNCONNECTED
78
    );
79
  GND_1 : GND
80
    port map (
81
      G => NLW_GND_G_UNCONNECTED
82
    );
83
  BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o21 :
84
LUT4
85
    generic map(
86
      INIT => X"8000"
87
    )
88
    port map (
89
      I0 =>
90
BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o7_16
91
,
92
      I1 =>
93
BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o12_17
94
,
95
      I2 => a_2(9),
96
      I3 => BU2_N01,
97
      O => BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_temp_result
98
    );
99
  BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o21_SW0 :
100
LUT3
101
    generic map(
102
      INIT => X"80"
103
    )
104
    port map (
105
      I0 => a_2(8),
106
      I1 => a_2(7),
107
      I2 => a_2(6),
108
      O => BU2_N01
109
    );
110
  BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o12 :
111
LUT4
112
    generic map(
113
      INIT => X"8000"
114
    )
115
    port map (
116
      I0 => a_2(5),
117
      I1 => a_2(4),
118
      I2 => a_2(3),
119
      I3 => a_2(2),
120
      O =>
121
BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o12_17
122
 
123
    );
124
  BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o7 :
125
LUT3
126
    generic map(
127
      INIT => X"80"
128
    )
129
    port map (
130
      I0 => a_2(10),
131
      I1 => a_2(1),
132
      I2 => a_2(0),
133
      O =>
134
BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o7_16
135
 
136
    );
137
  BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_gen_output_reg_output_reg_fd_output_1 : FDR
138
    generic map(
139
      INIT => '0'
140
    )
141
    port map (
142
      C => clk,
143
      D => BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_temp_result,
144
      R => sclr,
145
      Q => qa_eq_b
146
    );
147
  BU2_XST_GND : GND
148
    port map (
149
      G => BU2_a_ge_b
150
    );
151
 
152
end STRUCTURE;
153
 
154
-- synthesis translate_on

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.